0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

詳解PCIe和NVLink兩種互聯(lián)技術(shù)

SDNLAB ? 來(lái)源:SDNLAB ? 2023-10-17 11:25 ? 次閱讀

計(jì)算機(jī)網(wǎng)絡(luò)通信中兩個(gè)重要的衡量指標(biāo)是帶寬和延遲,AI 網(wǎng)絡(luò)也是如此。在向百億級(jí)及以上規(guī)模的發(fā)展過(guò)程中,影響AI計(jì)算集群性能的關(guān)鍵并不只在于單個(gè)芯片的處理速度,每個(gè)芯片之間的通信速度也尤為重要。

目前GPU卡間互聯(lián)的主要協(xié)議是PCIe和NVlink,服務(wù)器間互聯(lián)則是RDMA以太網(wǎng)。之前我們有談過(guò)IB和RoCE(IB和RoCE,誰(shuí)更適合AI數(shù)據(jù)中心網(wǎng)絡(luò)?),本文將主要介紹PCIe和NVLink兩種互聯(lián)技術(shù)。

01PCIe :高帶寬擴(kuò)展總線

總線是服務(wù)器主板上不同硬件互相進(jìn)行數(shù)據(jù)通信的管道,可以簡(jiǎn)單理解為生活中的各種交通道路??偩€對(duì)硬件間數(shù)據(jù)傳輸速度起著決定性的作用,目前最流行的總線協(xié)議為PCIe(PCI-Express),最早由Intel于2001年提出。

PCle主要用于連接CPU與各類高速外圍設(shè)備,如GPU、SSD、網(wǎng)卡、顯卡等。與傳統(tǒng)的PCI總線相比,PCIe采用點(diǎn)對(duì)點(diǎn)連接方式,具有更高的性能和可擴(kuò)展性。伴隨著AI、自動(dòng)駕駛、AR/VR等應(yīng)用快速發(fā)展,計(jì)算要求愈來(lái)愈高,處理器I/O帶寬的需求每三年實(shí)現(xiàn)翻番,PCIe也大致按照3年一代的速度更新演進(jìn),每一代升級(jí)幾乎能夠?qū)崿F(xiàn)傳輸速率的翻倍,并有著良好的向后兼容性。

2003 年P(guān)CIe 1.0 正式發(fā)布,可支持每通道傳輸速率為 250MB/s,總傳輸速率為 2.5 GT/s。

2007 年推出PCIe 2.0 規(guī)范。在 PCIe 1.0 的基礎(chǔ)上將總傳輸速率提高了一倍,達(dá)到 5 GT/s,每通道傳輸速率從 250 MB/s 上升至 500 MB/s。

2022 年 PCIe 6.0 規(guī)范正式發(fā)布,總帶寬提高至 64 GT/s。

2022年6月,PCI-SIG聯(lián)盟宣布PCIe 7.0版規(guī)范,單條通道(x1)單向可實(shí)現(xiàn)128GT/s傳輸速率,計(jì)劃于2025年推出最終版本。

wKgZomUt_s2AcijgAAITpRKRM1w154.png

PCIe 1.0 到 6.0 不同 Lane 下的帶寬變化

Retimer

在PCIe標(biāo)準(zhǔn)的迭代過(guò)程中,隨著通信速率的逐步提高,信號(hào)質(zhì)量也會(huì)受到影響,為應(yīng)對(duì)愈演愈烈的信號(hào)衰減問(wèn)題,PCIe從4.0時(shí)期開(kāi)始引入信號(hào)調(diào)理芯片:

PCIe Retimer

Retimer是一種數(shù)模信號(hào)混合芯片,功能主要為重新生成信號(hào)。Retimer 先恢復(fù)抖動(dòng)的時(shí)鐘信號(hào),再生成新信號(hào)并重新發(fā)送,從而有效解決信號(hào)衰減問(wèn)題,為服務(wù)器、存儲(chǔ)設(shè)備及硬件加速器等應(yīng)用場(chǎng)景提供可擴(kuò)展的高性能PCIe互聯(lián)解決方案。

PCIe Redriver

Redriver是一種信號(hào)放大器,通過(guò)發(fā)射端的驅(qū)動(dòng)器和接收端的濾波器提升信號(hào)強(qiáng)度,從而實(shí)現(xiàn)對(duì)信號(hào)損耗的補(bǔ)償。

工作原理來(lái)看,Redriver通過(guò)放大信號(hào)來(lái)恢復(fù)數(shù)據(jù),而Retimer 則重新建立一個(gè)傳輸信號(hào)的新副本。與 Redriver 相比,Retimer 恢復(fù)信號(hào)的效果更好,能夠?qū)崿F(xiàn)比Redriver更優(yōu)的降低信道損耗效果,但由于增加了數(shù)據(jù)處理過(guò)程,時(shí)延有所增加。

PCIe Switch

PCIe 的鏈路通信是一種端對(duì)端的數(shù)據(jù)傳輸,每一條PCIe鏈路兩端只能各連接一個(gè)設(shè)備,在需要高速數(shù)據(jù)傳輸和大量設(shè)備連接的場(chǎng)景中連接數(shù)量和速度受限。因此需要PCIe Switch提供擴(kuò)展或聚合能力,從而允許更多的設(shè)備連接到一個(gè) PCle 端口,以解決 PCIe 通道數(shù)量不夠的問(wèn)題。

wKgaomUt_s2AeGXIAAH3te8HijI776.png

PCIe Switch連接多條PCIe總線

PCIe Switch兼具連接、交換功能,具有低功耗、低延遲、高可靠性、高靈活性等優(yōu)勢(shì),能夠?qū)⒍鄺lPCIe總線連接在一起,形成一個(gè)高速的PCIe互聯(lián)網(wǎng)絡(luò),從而實(shí)現(xiàn)多設(shè)備通信。從PCIe Switch內(nèi)部結(jié)構(gòu)看,其由多個(gè)PCI-PCI橋接構(gòu)成,實(shí)現(xiàn)從單條線到多條線的發(fā)散。PCIe Switch 芯片與其設(shè)備的通信協(xié)議都是 PCIe。

02NVLink:高速 GPU 互連

算力的提升不僅依靠單張GPU卡的性能提升,往往還需要多GPU卡組合。在多GPU系統(tǒng)內(nèi)部,GPU間通信的帶寬通常在數(shù)百GB/s以上,PCIe總線的數(shù)據(jù)傳輸速率容易成為瓶頸,且PCIe鏈路接口的串并轉(zhuǎn)換會(huì)產(chǎn)生較大延時(shí),影響GPU并行計(jì)算的效率和性能。

GPU發(fā)出的信號(hào)需要先傳遞到PCIe Switch, PCIe Switch中涉及到數(shù)據(jù)的處理,CPU會(huì)對(duì)數(shù)據(jù)進(jìn)行分發(fā)調(diào)度,這些都會(huì)引入額外的網(wǎng)絡(luò)延遲,限制了系統(tǒng)性能。

wKgZomUt_s2AHGRJAAFIhOBGtRw150.png

為此,NVIDIA推出了能夠提升GPU通信性能的技術(shù)——GPUDirect P2P技術(shù),使GPU可以通過(guò)PCI Express直接訪問(wèn)目標(biāo)GPU的顯存,避免了通過(guò)拷貝到CPU host memory作為中轉(zhuǎn),大大降低了數(shù)據(jù)交換的延遲,但受限于PCI Express總線協(xié)議以及拓?fù)浣Y(jié)構(gòu)的一些限制,無(wú)法做到更高的帶寬。此后,NVIDIA提出了NVLink總線協(xié)議。

NVLink的演進(jìn)

NVLink 是一種高速互連技術(shù),旨在加快 CPU 與 GPU、GPU 與 GPU 之間的數(shù)據(jù)傳輸速度,提高系統(tǒng)性能。NVLink通過(guò)GPU之間的直接互聯(lián),可擴(kuò)展服務(wù)器內(nèi)的多GPU I/O,相較于傳統(tǒng)PCIe總線可提供更高效、低延遲的互聯(lián)解決方案。

NVLink的首個(gè)版本于2014年發(fā)布,首次引入了高速GPU互連。2016年發(fā)布的P100搭載了第一代NVLink,提供 160GB/s 的帶寬,相當(dāng)于當(dāng)時(shí) PCIe 3.0 x16 帶寬的 5 倍。V100搭載的NVLink2將帶寬提升到300GB/s ,A100搭載了NVLink3帶寬為600GB/s。目前NVLink已迭代至第四代,可為多GPU系統(tǒng)配置提供高于以往1.5倍的帶寬以及更強(qiáng)的可擴(kuò)展性,H100中包含18條第四代NVLink鏈路,總帶寬達(dá)到900 GB/s,是PCIe 5.0帶寬的7倍。

wKgaomUt_s2AB2fGAADwJqa2b9U315.png

四代 NVLink 對(duì)比

目前已知的NVLink分兩種,一種是橋接器的形式實(shí)現(xiàn)NVLink高速互聯(lián)技術(shù),另一種是在主板上集成了NVLink接口。

NVSwitch

為了解決GPU之間通訊不均衡問(wèn)題,NVIDIA引入NVSwitch。NVSwitch芯片是一種類似交換機(jī)ASIC的物理芯片,通過(guò)NVLink接口可以將多個(gè)GPU高速互聯(lián)到一起,可創(chuàng)建無(wú)縫、高帶寬的多節(jié)點(diǎn)GPU集群,實(shí)現(xiàn)所有GPU在一個(gè)具有全帶寬連接的集群中協(xié)同工作,從而提升服務(wù)器內(nèi)部多個(gè)GPU之間的通訊效率和帶寬。NVLink和NVSwitch的結(jié)合使NVIDIA得以高效地將AI性能擴(kuò)展到多個(gè)GPU。

wKgZomUt_s2AD-41AAQ4HXkLf2E119.png

NVSwitch 拓?fù)鋱D

第一代 NVSwitch于2018年發(fā)布,采用臺(tái)積電 12nmFinFET 工藝制造,共有 18 個(gè) NVLink 2.0 接口。目前 NVSwitch 已經(jīng)迭代至第三代。第三代 NVSwitch 采用 TSMC 4N 工藝構(gòu)建,每個(gè) NVSwitch 芯片上擁有 64 個(gè) NVLink 4.0 端口,GPU 間通信速率可達(dá) 900GB/s。

wKgaomUt_s2ABFFyAADTgjKsFrY636.png

三代 NVSwitch 性能對(duì)比

2023 年 5 月 29 日,NVIDIA推出的DGX GH200 AI超級(jí)計(jì)算機(jī),采用NVLink以及 NVLink Switch System 將256個(gè)GH200 超級(jí)芯片相連,把所有GPU作為一個(gè)整體協(xié)同運(yùn)行。DGX GH200 是第一臺(tái)突破 NVLink 上 GPU 可訪問(wèn)內(nèi)存 100 TB 障礙的超級(jí)計(jì)算機(jī)。

03AI時(shí)代下的網(wǎng)絡(luò)互聯(lián)

在逐步邁向AI時(shí)代網(wǎng)絡(luò)互聯(lián)的過(guò)程中,該選擇PCIe還是NVLink?我們可以先看下NVIDIA 的NVLink版(SXM版)與PCIe版GPU的區(qū)別。

SXM架構(gòu)是一種高帶寬插座式解決方案,用于將 GPU連接到NVIDIA 專有的 DGX 和 HGX 系統(tǒng)。SXM 版GPU通過(guò) NVSwitch 芯片互聯(lián),GPU 之間交換數(shù)據(jù)采用NVLink,未閹割的A100是600GB/s、H100是900GB/s,閹割過(guò)的A800、H800為400GB/s。PCIe版只有成對(duì)的 GPU 通過(guò) NVLink Bridge 連接,通過(guò) PCIe 通道進(jìn)行數(shù)據(jù)通信。最新的PCIe只有128GB/s。

wKgZomUt_s2AVKo5AAToEP-QVGA593.png

AI /HPC的計(jì)算需求不斷增長(zhǎng),因此越來(lái)越需要在 GPU 之間提供更大的互聯(lián)帶寬。總的來(lái)說(shuō),NVLink的傳輸速度與時(shí)延都要優(yōu)于PCIe,PCIe的帶寬已逐漸無(wú)法滿足AI時(shí)代數(shù)據(jù)互聯(lián)的需求。但PCIe作為通用標(biāo)準(zhǔn)的互聯(lián)技術(shù),可廣泛應(yīng)用于各種場(chǎng)景,而NVLink為NVIDIA專有,是NVIDIA AI帝國(guó)的護(hù)城河,其他企業(yè)只能采用PCIe或者別的互聯(lián)協(xié)議。

像谷歌是通過(guò)自研的OCS(Optical Circuit Switch)技術(shù)實(shí)現(xiàn)TPU之間的互聯(lián),解決TPU的擴(kuò)展性問(wèn)題。谷歌還自研了一款光路開(kāi)關(guān)芯片Palomar,通過(guò)該芯片可實(shí)現(xiàn)光互聯(lián)拓?fù)涞撵`活配置。也就是說(shuō),TPU芯片之間的互聯(lián)拓?fù)洳⒎且怀刹蛔儯梢愿鶕?jù)機(jī)器學(xué)習(xí)的具體模型來(lái)改變拓?fù)?,提升?jì)算性能及可靠性。借助OCS技術(shù),可以將4096個(gè)TPU v4組成一臺(tái)超級(jí)計(jì)算機(jī)。

據(jù)稱,目前國(guó)外AI芯片初創(chuàng)公司Enfabrica和國(guó)內(nèi)某些企業(yè)正沿著PCIe/CXL Switch方向在努力,結(jié)合CXL協(xié)議規(guī)范和PCIe接口的通用性,打造CPU-CPU直連交換芯片和系統(tǒng)方案。近期,NVIDIA還對(duì)Enfabrica進(jìn)行了投資。有分析師表示,Enfabrica完全具備作為NVIDIA競(jìng)爭(zhēng)對(duì)手的潛力,未來(lái)NVIDIA可能會(huì)考慮收購(gòu)這家初創(chuàng)公司。

市場(chǎng)發(fā)展瞬息萬(wàn)變,未來(lái)具體將如何演變不僅取決于技術(shù)創(chuàng)新,也取決于市場(chǎng)需求和行業(yè)合作。在這個(gè)不斷演變的AI網(wǎng)絡(luò)互聯(lián)時(shí)代,企業(yè)如何抉擇將取決于自身對(duì)性能、成本、應(yīng)用場(chǎng)景和未來(lái)發(fā)展趨勢(shì)等多重因素的考量。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 服務(wù)器
    +關(guān)注

    關(guān)注

    12

    文章

    8700

    瀏覽量

    84528
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2817

    瀏覽量

    87696
  • 數(shù)據(jù)中心
    +關(guān)注

    關(guān)注

    16

    文章

    4516

    瀏覽量

    71617
  • AI
    AI
    +關(guān)注

    關(guān)注

    87

    文章

    28875

    瀏覽量

    266191
  • PCIe
    +關(guān)注

    關(guān)注

    15

    文章

    1165

    瀏覽量

    81963

原文標(biāo)題:AI網(wǎng)絡(luò)互聯(lián),PCIe還是NVLink?

文章出處:【微信號(hào):SDNLAB,微信公眾號(hào):SDNLAB】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    詳解Zynq的兩種啟動(dòng)模式

    Zynq-7000AP SOC器件有效利用了片上CPU來(lái)幫忙配置,在沒(méi)有外部JTAG的情況下,處理系統(tǒng)(PS)與可編程邏輯(PL)都必須依靠PS來(lái)完成芯片的初始化配置。 ZYNQ的兩種啟動(dòng)模式:從BootROM主動(dòng)啟動(dòng),從JTAG被動(dòng)啟動(dòng)。
    發(fā)表于 08-02 09:33 ?1241次閱讀
    <b class='flag-5'>詳解</b>Zynq的<b class='flag-5'>兩種</b>啟動(dòng)模式

    兩種典型的ADRC算法介紹

    前言??上篇中詳細(xì)闡述了經(jīng)典的自抗擾控制算法的原理,本篇將圍繞兩種ADRC算法展開(kāi),針對(duì)擴(kuò)張狀態(tài)觀測(cè)器的參數(shù)整定問(wèn)題進(jìn)行詳解,同時(shí),對(duì)跟蹤微分器的幾個(gè)重要應(yīng)用進(jìn)行介紹。兩種典型的ADRC算法??自抗
    發(fā)表于 09-07 08:02

    SQL語(yǔ)言的兩種使用方式

    編寫(xiě))-DBMS預(yù)處理程序-預(yù)處理過(guò)的源程序(嵌入的SQL語(yǔ)句已轉(zhuǎn)換成函數(shù)調(diào)用形式)-宿主語(yǔ)言編譯程序(SQL函數(shù)定義庫(kù))-目標(biāo)程序嵌入式SQL涉及到SQL語(yǔ)句在主語(yǔ)言程序中的使用規(guī)定,以解決兩種語(yǔ)言的不一致和相互聯(lián)系的問(wèn)題。...
    發(fā)表于 12-20 06:51

    英偉達(dá)GPU卡多卡互聯(lián)NVLink,系統(tǒng)累積的公差,是怎么解決的?是連接器吸收的?

    英偉達(dá)不斷推出GPU卡,并且實(shí)現(xiàn)多卡互聯(lián)NVLink,實(shí)際整個(gè)系統(tǒng)會(huì)累積到一個(gè)較大的公差,而目前市面上已有的連接器只能吸收較少的公差,這個(gè)是怎么做到匹配的呢?
    發(fā)表于 03-05 16:17

    PCIe兩種中斷傳遞方式

    MSI/MSI-X是后續(xù)的PCI/PCI-X總線改進(jìn)后的中斷機(jī)制,其中MSI-X(MSI-eXtented)是PCI-X中提出的升級(jí)版本。需要特別注意的是,MSI/MSI-X與PCIe總線中的消息(Message)的概念完全不同!MSI/MSI-X本質(zhì)上是一Posted
    的頭像 發(fā)表于 08-31 15:31 ?9123次閱讀

    PCIe總線的兩種復(fù)位方式

    傳統(tǒng)的復(fù)位方式分為Cold、Warm和Hot Reset。PCIe設(shè)備可以根據(jù)當(dāng)前的設(shè)備的運(yùn)行狀態(tài)選擇合適的復(fù)位方式,PCIe總線提供多種復(fù)位方式的主要原因是減小PCIe設(shè)備的復(fù)位延時(shí)。
    的頭像 發(fā)表于 12-30 09:37 ?2.3w次閱讀

    關(guān)于兩種無(wú)線傳輸技術(shù)WIHD和WIDI

    今天我們來(lái)說(shuō)一下WIHD和WIDI兩種無(wú)線傳輸技術(shù),WIHD是WIrelessHD的簡(jiǎn)稱,采用60GHz的高頻頻段60GHz”毫米波”技術(shù)進(jìn)行無(wú)線傳輸?shù)囊?b class='flag-5'>種傳輸規(guī)范。
    發(fā)表于 07-12 11:49 ?2305次閱讀

    PCIe錯(cuò)誤報(bào)告的兩種機(jī)制詳解

    該機(jī)制是PCIe設(shè)備必需支持的一錯(cuò)誤報(bào)告機(jī)制,同時(shí)設(shè)備會(huì)定義最小的錯(cuò)誤報(bào)告請(qǐng)求。應(yīng)該是通過(guò)配置Device Control和Command寄存器做到通知其他設(shè)備產(chǎn)生了錯(cuò)誤的一機(jī)制。
    的頭像 發(fā)表于 10-23 11:14 ?2.4w次閱讀
    <b class='flag-5'>PCIe</b>錯(cuò)誤報(bào)告的<b class='flag-5'>兩種</b>機(jī)制<b class='flag-5'>詳解</b>

    FORESEE SSD研發(fā)團(tuán)隊(duì)推出支持兩種加密功能的P709 PCIe SSD

    為了滿足市場(chǎng)對(duì)SSD安全性和可靠性的需求,F(xiàn)ORESEE SSD研發(fā)團(tuán)隊(duì)推出了這款能夠分別支持TCG-OPAL 2.0與Pyrite 2.0兩種加密功能的P709 PCIe SSD,以此保障用戶數(shù)據(jù)安全,從而避免出現(xiàn)數(shù)據(jù)泄露的情況。
    的頭像 發(fā)表于 08-24 17:03 ?2161次閱讀

    詳解PMSM中常用的兩種坐標(biāo)變換

    期介紹了Clarke的Park變化的基本原理,但是經(jīng)過(guò)這兩種變換后會(huì)存在兩種系數(shù),相信大家都很迷惑,這是什么原因? 主要原因是存在兩種遵循的方式:1、變換前后電流所產(chǎn)生的旋轉(zhuǎn)磁場(chǎng)等
    的頭像 發(fā)表于 01-19 15:52 ?2122次閱讀
    <b class='flag-5'>詳解</b>PMSM中常用的<b class='flag-5'>兩種</b>坐標(biāo)變換

    NVLink的演進(jìn)

    2014年,NVLink 1.0發(fā)布,并應(yīng)用在P100芯片上,如下圖所示。顆GPU之間有4條NVlink, 每個(gè)link中包含8個(gè)lane, 每條lane的速率是20Gb/s, 因此整個(gè)系統(tǒng)的雙向帶寬為160GB/s,是
    的頭像 發(fā)表于 10-11 15:32 ?1981次閱讀
    <b class='flag-5'>NVLink</b>的演進(jìn)

    Micro OLED和Micro LED兩種顯示技術(shù)有哪些不同?

    Micro OLED和Micro LED兩種顯示技術(shù)有哪些不同? Micro OLED和Micro LED是兩種不同的顯示技術(shù),它們?cè)跇?gòu)造、工作原理以及應(yīng)用領(lǐng)域等方面存在一些明顯的區(qū)別
    的頭像 發(fā)表于 12-11 14:26 ?6149次閱讀

    英偉達(dá)AI服務(wù)器NVLink版與PCIe版有何區(qū)別?又如何選擇呢?

    在人工智能領(lǐng)域,英偉達(dá)作為行業(yè)領(lǐng)軍者,推出了兩種主要的GPU版本供AI服務(wù)器選擇——NVLink版(實(shí)為SXM版)與PCIe版。這者有何本質(zhì)區(qū)別?又該如何根據(jù)應(yīng)用場(chǎng)景做出最佳選擇呢?
    的頭像 發(fā)表于 03-19 11:21 ?4494次閱讀
    英偉達(dá)AI服務(wù)器<b class='flag-5'>NVLink</b>版與<b class='flag-5'>PCIe</b>版有何區(qū)別?又如何選擇呢?

    NVLink的演進(jìn):從內(nèi)部互聯(lián)到超級(jí)網(wǎng)絡(luò)

    NVLink是NVIDIA開(kāi)發(fā)的一高速、低延遲的互聯(lián)技術(shù),旨在連接多個(gè)GPU以實(shí)現(xiàn)高性能并行計(jì)算。與傳統(tǒng)的PCIe總線相比,
    的頭像 發(fā)表于 04-13 11:22 ?951次閱讀
    <b class='flag-5'>NVLink</b>的演進(jìn):從內(nèi)部<b class='flag-5'>互聯(lián)</b>到超級(jí)網(wǎng)絡(luò)

    全面解讀英偉達(dá)NVLink技術(shù)

    NVLink是一解決服務(wù)器內(nèi)GPU之間通信限制的協(xié)議。與傳統(tǒng)的PCIe交換機(jī)不同,NVLink帶寬有限,可以在服務(wù)器內(nèi)的GPU之間實(shí)現(xiàn)高速直接互連。第四代
    發(fā)表于 04-22 11:01 ?988次閱讀
    全面解讀英偉達(dá)<b class='flag-5'>NVLink</b><b class='flag-5'>技術(shù)</b>