0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片設(shè)計流程基礎(chǔ)知識入門

yzcdx ? 來源:OS與AUTOSAR研究 ? 2023-11-01 10:36 ? 次閱讀

芯片近些年來一直是風(fēng)口,幾乎所有有實力的上市公司都要蹭下這個熱度:自研芯片。這也誕生了很多工作崗位,相對于硬件工程師,軟件開發(fā)工程師能做的事情有限,但是也是非常重要的,而且跟著風(fēng)口喝口湯也是可以的。整體來說:需求、架構(gòu)、驗證是需要軟件參與的,本文結(jié)合自己的部分經(jīng)驗,從最基本的芯片設(shè)計流程進(jìn)行介紹,其中會涉及一些可能你經(jīng)常聽到的卡脖子技術(shù)。

73f308d4-785d-11ee-939d-92fbcf53809c.png

芯片設(shè)計的四個步驟,如上圖,我們只關(guān)注需求和設(shè)計,制造和封裝不是我們軟件工程師能左右的。

1. 市場需求

要做一個芯片,首先得有需求,也就是應(yīng)用場景,有市場去買單。當(dāng)前科技時代,電子技術(shù)在各方面都有應(yīng)用,可以說有電路板的地方就有芯片需求。例如手機(jī)、電腦、智能家具,我們的衣食住行用等方方面面。

744b3108-785d-11ee-939d-92fbcf53809c.png

有了需求,就可以根據(jù)需求梳理出來我們硬件上需要那些模塊功能性能指標(biāo),以及軟硬件上要用的技術(shù)。這些需要軟硬件的架構(gòu)師進(jìn)行設(shè)計,最終形成Spec。 Spec會確定所有的功能和要求,之后才可以進(jìn)行芯片設(shè)計。

1.1 關(guān)于EDA模擬軟件

74643996-785d-11ee-939d-92fbcf53809c.png

軟件在做架構(gòu)設(shè)計的時候,有時候需要進(jìn)行一些驗證,看軟件是否支持,可以使用qemu模擬運(yùn)行的方式看應(yīng)用能支持不。

軟硬件在做架構(gòu)設(shè)計的時候,可以使用很多IC廠商提供的IP集成工具來模擬SoC,就是用純軟件的方式把SoC上的各個IP都添加模擬出來,例如VDK(Virtualizer Development Kit)工具。

這里說的VDK工具,其實就是一種電子設(shè)計自動化EDA(Electronics Design Automation)工具,也就是我們俗稱的EDA工具。這是我們卡脖子的技術(shù)之一,很復(fù)雜,而在芯片設(shè)計中非常的重要,貫穿設(shè)計、驗證和制造,特別是驗證可以大力減少出錯成本和縮短研發(fā)周期??芍^“沒有金剛鉆,不攬瓷器活”。詳細(xì)可以參考:淺談EDA驗證工具,芯片開發(fā)到底有多難?

VDK與qemu的區(qū)別就是qemu支持的芯片型號有限,自己添加很麻煩,要修改qemu的源碼。而在VDK圖形界面上點一點就可以添加一個IP,而且很多IP是不出名的,廠商私有的不會廣泛支持,就需要自己加。所以IP廠商基本會有自己的集成驗證工具供客戶使用。

芯片開發(fā)的周期很漫長,在最開始需求階段,一些應(yīng)用上的軟件也許就具備開發(fā)條件了,例如在qemu上進(jìn)行app應(yīng)用的開發(fā),一些依賴于SoC上IP的應(yīng)用,可以使用VDK做一些數(shù)據(jù)流的通路開發(fā),并不支持具體的業(yè)務(wù),這樣后續(xù)拿到真正芯片后就可以省略很多一部分研發(fā)任務(wù),并且可以提前驗證軟件技術(shù)方案的可行性。

如果軟件技術(shù)方案不可行需要修改SoC硬件也可以及早的修改。因為芯片研發(fā)越到后期修改的成本越大,如果流片了還需要修改,那就快game over了,巨額資金打水漂。所以寧愿先投入研發(fā)人員去慢慢磨,也不愿意在硬件上去試錯,人可沒芯片生產(chǎn)值錢。

1.2 關(guān)于架構(gòu)師

746ed93c-785d-11ee-939d-92fbcf53809c.png

數(shù)字集成電路設(shè)計實現(xiàn)流程是個相當(dāng)漫長的過程,拿手機(jī)基帶芯片為例,對于3G, 4G, 5G, 工程師最初見到的是無數(shù)頁的協(xié)議文檔。

架構(gòu)師要根據(jù)協(xié)議來確定:協(xié)議的哪些部分可以用軟件實現(xiàn),哪些部分需要用硬件實現(xiàn);

算法工程師要深入研讀協(xié)議的每一部分,并選定實現(xiàn)所用算法;

芯片設(shè)計工程師,需要將算法工程師選定的算法,描述成RTL;

芯片驗證工程師,需要根據(jù)算法工程師選定的算法設(shè)計測試向量,對RTL 做功能、效能驗證;

數(shù)字實現(xiàn)工程師,需要根據(jù)算法工程師和設(shè)計工程師設(shè)定的目標(biāo)PPA 將RTL 揉搓成GDS;

芯片生產(chǎn)由于太過復(fù)雜,完全交由代工廠完成,封裝亦是;

對于測試,大部分公司都是租借第三方測試基臺由自己的測試工程師完成,只有少部分土豪公司才會有自己的測試基臺。

架構(gòu)師是芯片靈魂的締造者,是食物鏈的最頂端,是牛逼閃閃的存在。

2. 芯片設(shè)計概述

芯片設(shè)計分為兩部分,前端(邏輯設(shè)計)后端(物理設(shè)計)。

7479ca2c-785d-11ee-939d-92fbcf53809c.png ?
7496e120-785d-11ee-939d-92fbcf53809c.png ?

這其中很多流程看似高大上,但是有IP供應(yīng)商提供的工具以后,其實就是界面上點點的事情。 芯片設(shè)計中涉及的工具繁多,基本都是老外的,這需要國內(nèi)芯片公司的崛起,有自己的很多IP后才可以投入到這些工具的研發(fā)中去。

有一個說法就是老外掌握一項新技術(shù),首先就是加密做界面化,不提供源碼然后商業(yè)賣錢。而這個周期要延遲一兩年到市場上,而中國直接推給自己的客戶,客戶有能力抄的就抄跑了,大家都不太注重商業(yè)保密,可能技術(shù)比較low不用藏著掖著,大家都是抄的。。。這就是中國速度。

3. 芯片前端設(shè)計

RTL設(shè)計

驗證

靜態(tài)時序分析

覆蓋率

ASIC邏輯綜合

3.1 RTL設(shè)計

首先要確定芯片的工藝,如下圖:

74bad83c-785d-11ee-939d-92fbcf53809c.png

RTL(register transfer level) 設(shè)計:利用硬件描述語言,如VHDL,Verilog,System Verilog, 對電路以寄存器之間的傳輸為基礎(chǔ)進(jìn)行描述。 RTL使用代碼編寫,來實現(xiàn)功能模塊,就是一個個IP。這些IP分為數(shù)字IP和模擬IP:

74c6273c-785d-11ee-939d-92fbcf53809c.png

SOC芯片最終由SOC integration工程師把各個IP集成到一起。

74f37c00-785d-11ee-939d-92fbcf53809c.png

上圖中我們可以看到一個典型的SoC有那些IP,例如CPU,DSP,USB外設(shè),memory等。 對于RTL還需要進(jìn)行支持BIST(自測試),設(shè)計的時候也需要做可測性設(shè)計DFT(Design For Test)

7513a1f6-785d-11ee-939d-92fbcf53809c.png

除了對功能測試,還需要對代碼進(jìn)行自動檢查:通過lint, Spyglass等工具,針對電路進(jìn)行設(shè)計規(guī)則檢查,包括代碼編寫風(fēng)格,DFT,命名規(guī)則和電路綜合相關(guān)規(guī)則等檢查。

3.2 驗證

驗證是保證芯片功能正確性和完整性最重要的一環(huán)。驗證的工作量也是占整個芯片開發(fā)周期的50%-70%,相應(yīng)的,驗證工程師與設(shè)計工程師的數(shù)量大概在2-3:1。從驗證的層次可以分位:模塊級驗證,子系統(tǒng)級驗證和系統(tǒng)級驗證。從驗證的途徑可以分為:模擬(simulation),仿真和形式驗證(formality check)。

752decc8-785d-11ee-939d-92fbcf53809c.png

這里又出現(xiàn)了芯片驗證,這里軟件開發(fā)人員又來活了,之前在qemu、VDK上模擬的程序現(xiàn)在可以在RTL上模擬了,這里是越來越貼近硬件了。在多平臺驗證雖然很繁瑣,就像驗證完一個玩具系統(tǒng),然后繼續(xù)下一個,好似沒有用,但是每一次都是在進(jìn)步。

這里需要注意的一點就是在各個驗證平臺下的代碼復(fù)用問題,大的模塊差異可以通過編譯時區(qū)分,小的差異可以通過運(yùn)行時讀取標(biāo)志寄存器來在代碼里面走不同分支或加載不同的配置文件區(qū)分,這里需要把握一個度。 一點經(jīng)驗:多用配置文件例如xml、dts、config文件,不用宏,直接debug版本適應(yīng)所有驗證平臺,編譯時借助編譯工具腳本自動區(qū)分。

3.3 靜態(tài)時序分析(STA)

靜態(tài)時序分析是套用特定的時序模型(timing model),針對特定電路,分析其是否違反designer給定的時序限制(timing constraint)。
目前主流的STA工具是synopsys的Prime Time。

7539dc5e-785d-11ee-939d-92fbcf53809c.png ?

靜態(tài)時序分析的作用:

確定芯片最高工作頻率

通過時序分析可以控制工程的綜合、映射、布局布線等環(huán)節(jié),減少延遲,從而盡可能提高工作頻率。

檢查時序約束是否滿足

可以通過時序分析來查看目標(biāo)模塊是否滿足約束,如不滿足,可以定位到不滿足約束的部分,并給出具體原因,進(jìn)一步修改程序直至滿足要求。

分析時鐘質(zhì)量

時鐘存在抖動、偏移、占空比失真等不可避免的缺陷。通過時序分析可以驗證其對目標(biāo)模塊的影響。

3.4 覆蓋率

覆蓋率作為一種判斷驗證充分性的手段,已成為驗證工作的主導(dǎo)。從目標(biāo)上,可以把覆蓋率分為兩類:代碼覆蓋率 作用:檢查代碼是否冗余,設(shè)計要點是否遍歷完全。檢查對象:RTL代碼 功能覆蓋率 作用:檢查功能是否遍歷 檢查對象:自定義的container 在設(shè)計完成時,要進(jìn)行代碼覆蓋率充分性的sign-off, 對于覆蓋率未達(dá)到100%的情況,要給出合理的解釋,保證不影響芯片的工能。

3.5 ASIC綜合

邏輯綜合的結(jié)果就是把設(shè)計實現(xiàn)的RTL代碼翻譯成門級網(wǎng)表(netlist)的過程。

在做綜合時要設(shè)定約束條件,如電路面積、時序要求等目標(biāo)參數(shù)。

工具:synopsys的Design compiler, 綜合后把網(wǎng)表交給后端。

至此我們前端的工作就結(jié)束啦!

4. 后端設(shè)計

1. 邏輯綜合 2. 形式驗證 3. 物理實現(xiàn) 4. 時鐘樹綜合-CTS 5. 寄生參數(shù)提取 6. 版圖物理驗證

4.1 邏輯綜合

同3.5中前端的邏輯綜合

4.2 形式驗證

1)驗證芯片功能的一致性 2)不驗證電路本身的正確性 3)每次電路改變后都需驗證 形式驗證的意義在于保障芯片設(shè)計的一致性,一般在邏輯綜合,布局布線完成后必須做。工具:synopsys Formality

4.3 物理實現(xiàn)

物理實現(xiàn)可以分為三個部分:

布局規(guī)劃 floor plan

布局 place

布線 route

物理實現(xiàn)可以分為三個部分:

布局規(guī)劃 floor plan

布局 place

布線 route

布圖規(guī)劃floor plan 布圖規(guī)劃是整個后端流程中作重要的一步,但也是彈性最大的一步。因為沒有標(biāo)準(zhǔn)的最佳方案,但又有很多細(xì)節(jié)需要考量。 布局布線的目標(biāo):優(yōu)化芯片的面積,時序收斂,穩(wěn)定,方便走線。 工具:IC compiler,Encounter 布圖規(guī)劃完成效果圖:

7547184c-785d-11ee-939d-92fbcf53809c.png

布局

布局即擺放標(biāo)準(zhǔn)單元,I/O pad,宏單元來實現(xiàn)個電路邏輯。 布局目標(biāo):利用率越高越好,總線長越短越好,時序越快越好。 但利用率越高,布線就越困難;總線長越長,時序就越慢。因此要做到以上三個參數(shù)的最佳平衡。 布局完成效果圖:

756856c4-785d-11ee-939d-92fbcf53809c.png

布線

布線是指在滿足工藝規(guī)則和布線層數(shù)限制、線寬、線間距限制和各線網(wǎng)可靠絕緣的電性能約束條件下,根據(jù)電路的連接關(guān)系,將各單元和I/O pad用互連線連接起來。

75868f86-785d-11ee-939d-92fbcf53809c.png

4.4 時鐘樹綜合——CTS

Clock Tree Synthesis,時鐘樹綜合,簡單點說就是時鐘的布線。 由于時鐘信號在數(shù)字芯片的全局指揮作用,它的分布應(yīng)該是對稱式的連到各個寄存器單元,從而使時鐘從同一個時鐘源到達(dá)各個寄存器時,時鐘延遲差異最小。這也是為什么時鐘信號需要單獨布線的原因。

4.5 寄生參數(shù)提取

由于導(dǎo)線本身存在的電阻,相鄰導(dǎo)線之間的互感,耦合電容在芯片內(nèi)部會產(chǎn)生信號噪聲,串?dāng)_和反射。這些效應(yīng)會產(chǎn)生信號完整性問題,導(dǎo)致信號電壓波動和變化,如果嚴(yán)重就會導(dǎo)致信號失真錯誤。提取寄生參數(shù)進(jìn)行再次的分析驗證,分析信號完整性問題是非常重要的。 工具Synopsys的Star-RCXT

4.6版圖物理驗證

這一環(huán)節(jié)是對完成布線的物理版圖進(jìn)行功能和時序上的驗證,大概包含以下方面: LVS(Layout Vs Schematic)驗證:簡單說,就是版圖與邏輯綜合后的門級電路圖的對比驗證; DRC(Design Rule Checking):設(shè)計規(guī)則檢查,檢查連線間距,連線寬度等是否滿足工藝要求; ERC(Electrical Rule Checking):電氣規(guī)則檢查,檢查短路和開路等電氣規(guī)則違例; 實際的后端流程還包括電路功耗分析,以及隨著制造工藝不斷進(jìn)步產(chǎn)生的DFM可制造性設(shè)計)問題等。

物理版圖以GDSII的文件格式交給芯片代工廠(稱為Foundry)在晶圓硅片上做出實際的電路。

7592f69a-785d-11ee-939d-92fbcf53809c.png

最后進(jìn)行封裝和測試,就得到了我們實際看見的芯片。







審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • EDA工具
    +關(guān)注

    關(guān)注

    4

    文章

    264

    瀏覽量

    31538
  • 芯片設(shè)計
    +關(guān)注

    關(guān)注

    15

    文章

    980

    瀏覽量

    54622
  • SoC設(shè)計
    +關(guān)注

    關(guān)注

    1

    文章

    147

    瀏覽量

    18720
  • SPEC
    +關(guān)注

    關(guān)注

    0

    文章

    31

    瀏覽量

    15745
  • ASIC芯片
    +關(guān)注

    關(guān)注

    2

    文章

    91

    瀏覽量

    23664

原文標(biāo)題:芯片-設(shè)計流程入門

文章出處:【微信號:OS與AUTOSAR研究,微信公眾號:OS與AUTOSAR研究】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    求救:RF入門基礎(chǔ)知識

    本人本科生一枚,現(xiàn)在準(zhǔn)備讀RF的研究生,本科為微電子。想問問各位高手,要入門RF應(yīng)該準(zhǔn)備哪些基礎(chǔ)知識?最好能推薦幾本經(jīng)典教程、課本!希望大家給點建議,跪謝了。
    發(fā)表于 12-23 23:11

    開關(guān)電源入門基礎(chǔ)知識

    `開關(guān)電源入門基礎(chǔ)知識`
    發(fā)表于 03-31 13:59

    模擬電路入門基礎(chǔ)知識

    模擬電路入門基礎(chǔ)知識
    發(fā)表于 06-06 13:57

    PLC入門必看基礎(chǔ)知識

    PLC基礎(chǔ)知識(PLC入門必看)與C語言有什么關(guān)系_plc可以用c語言編程嗎_plc的C語言編程詳解
    發(fā)表于 09-09 08:39

    DC-DC電源入門基礎(chǔ)知識視頻教程

    DC-DC電源入門基礎(chǔ)知識視頻教程 本教程共上下2部分!
    發(fā)表于 04-08 11:29 ?243次下載

    HIFI耳機(jī)基礎(chǔ)知識入門

    HIFI耳機(jī)基礎(chǔ)知識入門 一、耳機(jī)是如何分類的? 1、按換能原理(Transducer)分
    發(fā)表于 02-02 11:48 ?3020次閱讀

    數(shù)碼攝影入門基礎(chǔ)知識

    數(shù)碼攝影入門基礎(chǔ)知識       數(shù)碼時代的來臨,讓個人擁有數(shù)碼影像設(shè)備不在是夢,尤其是我們挎友們,大多都
    發(fā)表于 02-23 13:43 ?2.5w次閱讀

    ADC入門_基礎(chǔ)知識

    ADC入門_基礎(chǔ)知識對初學(xué)者有很好的幫助。希望大家會喜歡。
    發(fā)表于 03-11 17:41 ?45次下載

    電感資料--電感基礎(chǔ)知識入門

    電子專業(yè)單片機(jī)相關(guān)知識學(xué)習(xí)教材資料——電感資料--電感基礎(chǔ)知識入門
    發(fā)表于 10-10 14:17 ?0次下載

    初學(xué)者AVR軟件入門基礎(chǔ)知識(1)

    初學(xué)者AVR軟件入門基礎(chǔ)知識(1)
    的頭像 發(fā)表于 07-04 09:50 ?4694次閱讀

    初學(xué)者AVR軟件入門基礎(chǔ)知識(2)

    初學(xué)者AVR軟件入門基礎(chǔ)知識(2)
    的頭像 發(fā)表于 07-04 09:50 ?2557次閱讀

    初學(xué)者AVR軟件入門基礎(chǔ)知識(3)

    初學(xué)者AVR軟件入門基礎(chǔ)知識(3)
    的頭像 發(fā)表于 07-04 09:51 ?2472次閱讀

    什么是DCS系統(tǒng)?DCS系統(tǒng)基礎(chǔ)知識入門

    什么是DCS系統(tǒng)?DCS系統(tǒng)基礎(chǔ)知識入門
    的頭像 發(fā)表于 12-02 11:14 ?4.5w次閱讀
    什么是DCS系統(tǒng)?DCS系統(tǒng)<b class='flag-5'>基礎(chǔ)知識</b><b class='flag-5'>入門</b>

    FPGA的入門基礎(chǔ)知識詳細(xì)說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA的入門基礎(chǔ)知識詳細(xì)說明。
    的頭像 發(fā)表于 12-20 10:13 ?9260次閱讀
    FPGA的<b class='flag-5'>入門</b><b class='flag-5'>基礎(chǔ)知識</b>詳細(xì)說明

    Arduino入門必備基礎(chǔ)知識(基礎(chǔ)認(rèn)證考前總結(jié))

    Arduino入門必備基礎(chǔ)知識(基礎(chǔ)認(rèn)證考前總結(jié))
    發(fā)表于 11-15 20:51 ?16次下載
    Arduino<b class='flag-5'>入門</b>必備<b class='flag-5'>基礎(chǔ)知識</b>(基礎(chǔ)認(rèn)證考前總結(jié))