0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

靜態(tài)時(shí)序分析基礎(chǔ)知識

FPGA之家 ? 來源:FPGA之家 ? 2023-11-07 09:30 ? 次閱讀

建立時(shí)間,保持時(shí)間

為了確保寄存器時(shí)鐘沿穩(wěn)定采集數(shù)據(jù),那么必須要滿足寄存器的建立,保持時(shí)間要求。 建立時(shí)間要求:在寄存器有效時(shí)鐘沿之前至少Tsetup時(shí)間,數(shù)據(jù)必須到達(dá)且穩(wěn)定。如下圖所示。

5074b8d8-7d0a-11ee-939d-92fbcf53809c.png

保持時(shí)間要求:在數(shù)據(jù)采集有效時(shí)鐘沿之后,數(shù)據(jù)必須維持最短Thold時(shí)間不變。如下圖所示。

508282ba-7d0a-11ee-939d-92fbcf53809c.png

建立時(shí)間裕量計(jì)算

同步時(shí)序電路如下圖所示。這里對后面一個(gè)寄存器進(jìn)行建立時(shí)間裕量分析。

5693bcb4-7d0a-11ee-939d-92fbcf53809c.png

其中寄存器的輸出延時(shí)為Tcq,即時(shí)鐘有效沿之后延時(shí)Tcq時(shí)間,數(shù)據(jù)才到達(dá)寄存器Q端。第一個(gè)寄存器有效時(shí)鐘沿之后Tcq時(shí)間,數(shù)據(jù)才到達(dá)Q1端,如下圖所示。 再經(jīng)過組合邏輯運(yùn)算,得到數(shù)據(jù)C1,其中組合邏輯的延時(shí)為Tlogic。時(shí)序如下圖。

56a86d62-7d0a-11ee-939d-92fbcf53809c.png

從這里可以看到,如果clk2和clk1之間沒有延時(shí)。那么對于后面的寄存器而言,數(shù)據(jù)提前于采樣時(shí)鐘沿之前Tcycle-(Tcq+Tlogic)時(shí)間到來,且穩(wěn)定。其中Tcycle為時(shí)鐘周期。

56b27fe6-7d0a-11ee-939d-92fbcf53809c.png

由于寄存器要求的建立時(shí)間為Tsetup,故電路必須滿足 Tcycle -(Tcq+Tlogic)- Tsetup>0 。建立時(shí)間裕量 Tset_slack = Tcycle -(Tcq+Tlogic)- Tsetup

如果clk1與clk2之間存在skew,如下圖所示,如正skew。得建立時(shí)間裕量為

Tset_slack =Tcycle -(Tcq+Tlogic)- Tsetup + Tskew

這是對建立時(shí)間有益的。

56bfbd50-7d0a-11ee-939d-92fbcf53809c.png

保持時(shí)間裕量計(jì)算

在時(shí)鐘沒有skew的情況下。在后一個(gè)寄存器有效采樣時(shí)鐘沿之后,同時(shí)新的C1只有經(jīng)過Tcq+Tlogic之后才會(huì)到達(dá)。故要滿足保持時(shí)間要求,只需要滿足Tcq+Tlogic>Thold。保持時(shí)間裕量Thold_slack = Tcq+Tlogic-Thold

56d54bf2-7d0a-11ee-939d-92fbcf53809c.png

如果clk1與clk2之間存在skew。如下圖所示(正skew)。

56e3d104-7d0a-11ee-939d-92fbcf53809c.jpg

可以看到,C1_NEW在clk2時(shí)鐘沿后,Tlogic+Tcq-Tskew就改變了。此時(shí)保持時(shí)間裕量Thold_slack = Tcq+Tlogic-Thold - Tskew ??梢钥吹剑齭kew對保持時(shí)間是無益的。

總結(jié)

可以看到,必須滿足建立時(shí)間要求和保持時(shí)間要求,即滿足如下關(guān)系式。 Tset_slack =Tcycle -(Tcq+Tlogic)- Tsetup +Tskew>0 Thold_slack =Tcq+Tlogic-Thold - Tskew > 0 從中可以看出,建立時(shí)間與保持時(shí)間要求是一對矛盾的關(guān)系,在設(shè)計(jì)電路時(shí),我們要折中考慮。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5254

    瀏覽量

    119292
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1683

    瀏覽量

    131001
  • 靜態(tài)時(shí)序分析

    關(guān)注

    0

    文章

    28

    瀏覽量

    9562
  • 保持時(shí)間
    +關(guān)注

    關(guān)注

    0

    文章

    9

    瀏覽量

    5660

原文標(biāo)題:靜態(tài)時(shí)序分析基礎(chǔ)

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    靜態(tài)時(shí)序分析原理及詳細(xì)過程

    靜態(tài)時(shí)序分析是檢查IC系統(tǒng)時(shí)序是否滿足要求的主要手段。以往時(shí)序的驗(yàn)證依賴于仿真,采用仿真的方法,覆蓋率跟所施加的激勵(lì)有關(guān),有些
    的頭像 發(fā)表于 11-25 11:03 ?9718次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b>的<b class='flag-5'>分析</b>原理及詳細(xì)過程

    [求助]靜態(tài)時(shí)序分析時(shí)序仿真?

    自己做了一個(gè)工程,靜態(tài)時(shí)序分析的結(jié)果CLK信號的SLACK是負(fù)值(-7.399ns),書上說該值是負(fù)值時(shí)說明時(shí)序不對,但是我感覺時(shí)序仿真的結(jié)
    發(fā)表于 03-03 23:22

    靜態(tài)時(shí)序分析與邏輯(華為內(nèi)部培訓(xùn)資料)

    靜態(tài)時(shí)序概念,目的 靜態(tài)時(shí)序分析路徑,方法 靜態(tài)時(shí)序
    發(fā)表于 07-09 18:28 ?130次下載

    靜態(tài)時(shí)序分析基礎(chǔ)知識

    在制程進(jìn)入深次微米世代之后,芯片(IC)設(shè)計(jì)的高復(fù)雜度及系統(tǒng)單芯片(SOC)設(shè)計(jì)方式興起。此一趨勢使得如何確保IC質(zhì)量成為今日所有設(shè)計(jì)從業(yè)人員不得不面臨之重大課題。靜態(tài)時(shí)序
    發(fā)表于 05-11 16:53 ?0次下載
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基礎(chǔ)知識</b>

    靜態(tài)時(shí)序分析在IC設(shè)計(jì)中的應(yīng)用

    討論了靜態(tài)時(shí)序分析算法及其在IC 設(shè)計(jì)中的應(yīng)用。首先,文章討論了靜態(tài)時(shí)序分析中的偽路徑問題以及路
    發(fā)表于 12-20 11:03 ?95次下載
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>在IC設(shè)計(jì)中的應(yīng)用

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用

    _靜態(tài)時(shí)序分析(Static_Timing_Analysis)基礎(chǔ)及應(yīng)用[1]。
    發(fā)表于 05-09 10:59 ?31次下載

    華為靜態(tài)時(shí)序分析與邏輯設(shè)計(jì)

    華為靜態(tài)時(shí)序分析與邏輯設(shè)計(jì),基礎(chǔ)的資料,快來下載吧
    發(fā)表于 09-01 15:44 ?56次下載

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用
    發(fā)表于 01-24 16:54 ?7次下載

    靜態(tài)時(shí)序分析:如何編寫有效地時(shí)序約束(一)

    靜態(tài)時(shí)序分析是一種驗(yàn)證方法,其基本前提是同步邏輯設(shè)計(jì)(異步邏輯設(shè)計(jì)需要制定時(shí)鐘相對關(guān)系和最大路徑延時(shí)等,這個(gè)后面會(huì)說)。靜態(tài)時(shí)序
    的頭像 發(fā)表于 11-22 07:07 ?3379次閱讀

    正點(diǎn)原子FPGA靜態(tài)時(shí)序分析時(shí)序約束教程

    靜態(tài)時(shí)序分析是檢查芯片時(shí)序特性的一種方法,可以用來檢查信號在芯片中的傳播是否符合時(shí)序約束的要求。相比于動(dòng)態(tài)
    發(fā)表于 11-11 08:00 ?60次下載
    正點(diǎn)原子FPGA<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>與<b class='flag-5'>時(shí)序</b>約束教程

    華為FPGA硬件的靜態(tài)時(shí)序分析與邏輯設(shè)計(jì)

    本文檔的主要內(nèi)容詳細(xì)介紹的是華為FPGA硬件的靜態(tài)時(shí)序分析與邏輯設(shè)計(jì)包括了:靜態(tài)時(shí)序分析一概念與
    發(fā)表于 12-21 17:10 ?21次下載
    華為FPGA硬件的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>與邏輯設(shè)計(jì)

    時(shí)序分析靜態(tài)分析基礎(chǔ)教程

    本文檔的主要內(nèi)容詳細(xì)介紹的是時(shí)序分析靜態(tài)分析基礎(chǔ)教程。
    發(fā)表于 01-14 16:04 ?14次下載
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>分析</b>基礎(chǔ)教程

    解讀FPGA的靜態(tài)時(shí)序分析

    任何學(xué)FPGA的人都跑不掉的一個(gè)問題就是進(jìn)行靜態(tài)時(shí)序分析。靜態(tài)時(shí)序分析的公式,老實(shí)說很晦澀,而且
    的頭像 發(fā)表于 03-14 19:10 ?700次閱讀

    靜態(tài)時(shí)序分析的基本概念和方法

    引言 在同步電路設(shè)計(jì)中,時(shí)序是一個(gè)非常重要的因素,它決定了電路能否以預(yù)期的時(shí)鐘速率運(yùn)行。為了驗(yàn)證電路的時(shí)序性能,我們需要進(jìn)行 靜態(tài)時(shí)序分析
    的頭像 發(fā)表于 06-28 09:38 ?1297次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的基本概念和方法

    靜態(tài)時(shí)序分析的相關(guān)概念

    ??本文主要介紹了靜態(tài)時(shí)序分析 STA。
    的頭像 發(fā)表于 07-04 14:40 ?1104次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的相關(guān)概念