0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

數(shù)字后端先進工藝知識科普

jf_tpHP8OJR ? 來源:集成電路設(shè)計及EDA教程 ? 2023-12-01 10:20 ? 次閱讀

DPT Double Patterning Technology。double pattern就是先進工藝下底層金屬/poly加工制造的一種技術(shù),先進工藝下,如果用DUV,光的波長已經(jīng)無法直接刻出很小的尺寸了(寬度或者間距),所以可以用兩層甚至更多層mask來制造一層金屬,如下圖所示,所以可以看到版圖中有紅色和綠色(但看一種顏色,它們的間距光刻是可以加工的)。工藝有LELE(光刻 刻蝕 光刻 刻蝕)、LFLE(光刻 freeze 光刻 刻蝕)、SADP(自對準double patterning),以后有空也會專門介紹一下這些工藝。

56036bce-8f6a-11ee-939d-92fbcf53809c.png

FinFET是什么?請簡要畫出FinFET的三維結(jié)構(gòu),并解釋FinFET技術(shù)有什么優(yōu)缺點以及相應(yīng)的原因。

參考答案:

FinFET全稱Fin Field-Effect Transistor,中文名叫鰭式場效應(yīng)晶體管,與平面工藝最大的不同之處是:平面工藝有效溝道只有柵下面的一段,而FinFET則是把柵立了起來,柵包圍著溝道,溝道由1個面增大到了3個面,因此柵的控制能力更強了,漏電流會降低,另外MOS管的飽和電流會增大,因此Cell驅(qū)動能力會提升,器件速度更快。缺點是在小的面積下有更大的電流,熱量不好散發(fā)出去,因此對散熱的要求會更高。

與之類似,GAA則是FinFET結(jié)構(gòu)的一個升級,由原來的3面的柵升級為了4面環(huán)柵,且可以堆疊多層,因此以上特性會得到進一步提升。

561a75da-8f6a-11ee-939d-92fbcf53809c.png

可以提出一個類似的問題:

請說出MOS結(jié)構(gòu)有哪些種,它的演變路線以及GAA以及MBCFET的結(jié)構(gòu)與特點

參考答案:

平面工藝到FinFET以及GAA的演變:

5633df0c-8f6a-11ee-939d-92fbcf53809c.png

GAA(Gate-All-Around):

5656f8ca-8f6a-11ee-939d-92fbcf53809c.png

與前面的FinFET類似,GAA則是FinFET結(jié)構(gòu)的一個升級,由原來的3面的柵升級為了4面環(huán)柵,且可以堆疊多層,因此以上特性會得到進一步提升。這種中間堆疊的是尺寸較小的納米線Nanowire,這種需要堆疊的數(shù)量比較多,且加工難度相對比較大。

567188ca-8f6a-11ee-939d-92fbcf53809c.png

三星提出了另一種的GAA結(jié)構(gòu)-MBCFET,它用納米片取代了納米線,因此加工會相對容易一點,且能得到類似的性能。

56914c96-8f6a-11ee-939d-92fbcf53809c.png

問題:

星主,請問有關(guān)cut metal的相關(guān)概念和常見drc問題能不能有些講解,比如CM0的spacing問題如何修復,這一層是什么時候加上的,pr工具里看不到這些層但eco后版圖里報了一些相關(guān)的錯誤,謝謝

參考答案:

不同時鐘串在一個chain的時候中間要加lockup latch,因為不同時鐘的latency不同,setup有充足的margin,而hold就很容易有問題了,加上lockup latch之后可以借半個周期的時間,對hold有利,一般加了之后就不會有timing問題了。

backend弟中弟 提問:星主您好,有幾個面試問題請教您.

1.flip-chip 與 wirebond 的區(qū)別?我回答了下面幾個,當時也就想到這幾個了,您能補充一下嗎?

1.RDL層的厚度不一樣;flip-chip會更厚一點;這里追問了為什么?沒回答上來.

2.Flip-chip通過RDL層將信號從IO連到core 的中的bump上,bump就是一塊八變形的金屬塊;Wirebond封裝是直接將pad放在IO上,封裝的時候后從pad上打金線過去。

3.flip-chip的優(yōu)點,有利于時序以及IR,一般用在比較規(guī)模比較大的芯片,追問多大算大?然后后面又問了,為什么這個項目用flip-chip的封裝,我當時可能也沒回答好?

2.后端中你認為比較有難度的環(huán)節(jié)是哪個環(huán)節(jié),有時候還需要有一些創(chuàng)新的方法來解決問題的,為什么?我回答的是floorplan,但是好像不是面試官想要的答案。

謝謝啦。

星主你好!想請問一個面試的問題。

面試官:Finfet與傳統(tǒng)cmos器件有什么區(qū)別(這個問題不用此處回答,能答上來)?他們在實際項目中有啥值得注意的地方,比如floorplan階段?或者比如在DRC方面有何影響?會不會存在檢查不到的情況?(這個沒答上來。)他接著說你可以關(guān)注macro或者cell的user guide(太細了,這個沒怎么關(guān)注過)。

亞穩(wěn)態(tài)與兩級reg解決亞穩(wěn)態(tài)問題的原理以及synchronizer的verilog

標簽:sync 后端知識 亞穩(wěn)態(tài)

匿名用戶 提問:星主,想問問您兩個關(guān)于“打拍”的問題。

1.圖里對sig打拍采樣,我不解的是:第一拍是亞穩(wěn)態(tài),我本來是想采sig的高電平1,結(jié)果亞穩(wěn)態(tài)最終穩(wěn)定在0了,那后面打這一拍也沒意義呀,把想要的信號都錯過了…

2.圖里的Verilog,要是想對frame打兩拍去采樣,應(yīng)該咋改???

麻煩您了。

56a7c7fa-8f6a-11ee-939d-92fbcf53809c.png

問題:

請問,新接觸一個工藝,怎么看這個工藝是不是double pattern的呢?不是T的,也不是smic,不是GF,不是三星

標簽:DPT 先進工藝

回答:看tf里面對應(yīng)的layer后邊的numMasks,如果有這個屬性, 且值大于1就是dpt的,techLEF也是類似

匿名用戶 提問:starRC抽取寄生參數(shù)時,需要導入std,memory,sub block的gds嗎?怎么導入?如果不需要導入的話,看不到這些ip及block的金屬層,抽取的rc準確嗎

標簽:StarRC軟件教程

回復:

對于sub block,只用DEF就好了。

對于std cell, memory,項目早期對精度要求沒有那么嚴格,或者GDS沒有的情況下,可以用LEF DEF,在項目后期signoff的時候,需要指定GDS。沒有GDS的話提取與真實情況會有一些偏差。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • MOS管
    +關(guān)注

    關(guān)注

    108

    文章

    2314

    瀏覽量

    65692
  • FinFET
    +關(guān)注

    關(guān)注

    12

    文章

    247

    瀏覽量

    89977
  • 數(shù)字后端
    +關(guān)注

    關(guān)注

    0

    文章

    10

    瀏覽量

    3039
  • GAA
    GAA
    +關(guān)注

    關(guān)注

    2

    文章

    36

    瀏覽量

    7393

原文標題:數(shù)字后端先進工藝知識

文章出處:【微信號:集成電路設(shè)計及EDA教程,微信公眾號:集成電路設(shè)計及EDA教程】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    數(shù)字IC后端(CAD)

    數(shù)字后端工程師(CAD)工作經(jīng)驗, 微電子, 專業(yè)QQ:2361362181 郵箱:carry.wang@yaxunhr.com 工作地點:珠海。職位描述:崗位職責:從事各項目的自動布局布線和完善
    發(fā)表于 04-08 17:23

    數(shù)字IC后端設(shè)計介紹,寫給哪些想轉(zhuǎn)IC后端的人!

    后端設(shè)計流程、版圖布局布線、版圖編輯、版圖物理驗證、聯(lián)絡(luò)代工廠并提交生產(chǎn)數(shù)據(jù)。  數(shù)字后端設(shè)計流程如下圖:   數(shù)字IC設(shè)計后端流程如上圖所示,主要是以下步驟:  1.邏輯綜合是將RT
    發(fā)表于 12-29 11:53

    數(shù)字后端設(shè)計工程師主要干什么?

    數(shù)字后端,顧名思義,它處于數(shù)字IC設(shè)計流程的后端,屬于數(shù)字IC設(shè)計類崗位的一種。在IC設(shè)計中,數(shù)字后端所占的人數(shù)比重一直是最多的,而且隨著芯
    發(fā)表于 01-13 06:31

    求大佬分享數(shù)字后端的教材或教程

    求大佬分享數(shù)字后端的教材或教程
    發(fā)表于 06-21 06:47

    招聘數(shù)字后端工程師

    招聘數(shù)字后端工程師,北京、天津、西安、蘇州、成都、無錫
    發(fā)表于 10-26 15:11

    數(shù)字后端設(shè)計流程

    數(shù)字后端流程 1. 數(shù)據(jù)準備。對于 CDN 的 Silicon Ensemble而言后端設(shè)計所需的數(shù)據(jù)主要有是Foundry廠提供的標準單元、宏單元和I/O Pad的庫文件,它包括物理庫、時序庫及網(wǎng)表庫,分別以.lef、
    發(fā)表于 10-28 10:31 ?40次下載

    數(shù)字后端關(guān)于Litho Grid基本概念介紹詳解

    數(shù)字后端基本概念介紹——Litho Grid,今天要介紹的數(shù)字后端基本概念是Litho Grid,中文名,光刻格點。又被稱為制造單元格點,這是最基本的網(wǎng)格單元,任何元件都要對Litho Grid上,不然就無法被制造啦^_^,它定義在design的technology LE
    的頭像 發(fā)表于 12-14 17:05 ?4768次閱讀

    數(shù)字后端基本概念介紹——Placement Blockage的9中人為約束

    今天要介紹的數(shù)字后端基本概念是Placement Blockage. Placement blockage是大家在floorplan時經(jīng)常用的一種人為約束??梢杂行Э刂茀^(qū)域的density。從而避免
    的頭像 發(fā)表于 01-02 09:52 ?9923次閱讀

    介紹數(shù)字后端概念--Shape Blockage

    今天我們主要介紹的數(shù)字后端概念是Shape Blockage(形狀阻礙物)。主要是用于在Design Planning時,阻礙工具在shape blocks時,在該處放置block。平時較少使用,如下圖所示,工具不會在紅字區(qū)域擺放block.
    的頭像 發(fā)表于 01-29 10:27 ?6563次閱讀

    數(shù)字后端基本概念介紹

    今天要介紹的數(shù)字后端基本概念是boundary cell,也被稱為endcap Cell。Endcap是一種特殊的標準單元。在后端物理設(shè)計中,除了與,非,或等一些常見的標準單元外,還有一些特殊的物理單元(physical cell),它們通常沒有邏輯電路,
    的頭像 發(fā)表于 03-16 11:10 ?2.3w次閱讀
    <b class='flag-5'>數(shù)字后端</b>基本概念介紹

    淺談數(shù)字后端工程師的工作

    數(shù)字后端,顧名思義,它處于數(shù)字IC設(shè)計流程的后端,屬于數(shù)字IC設(shè)計類崗位的一種。 在IC設(shè)計中,數(shù)字后端所占的人數(shù)比重一直是最多的,而且隨著
    的頭像 發(fā)表于 02-26 16:06 ?1.4w次閱讀

    數(shù)字后端——電源規(guī)劃

    數(shù)字IC后端設(shè)計電源規(guī)劃的學習
    發(fā)表于 01-05 14:54 ?15次下載
    <b class='flag-5'>數(shù)字后端</b>——電源規(guī)劃

    數(shù)字后端基本概念介紹—FinFET Grid

    今天要介紹的數(shù)字后端基本概念是FinFET Grid,它也是一種設(shè)計格點。介紹該格點前,我們首先來了解一下什么是FinFET技術(shù)。
    發(fā)表于 07-12 17:31 ?1060次閱讀
    <b class='flag-5'>數(shù)字后端</b>基本概念介紹—FinFET Grid

    基于超表面天線陣列的射頻前端與數(shù)字后端聯(lián)合抗干擾方案

    本文提出一種基于超表面天線陣列的射頻前端與數(shù)字后端聯(lián)合抗干擾方案,利用超表面天線快速可重構(gòu)能力,對同一信號切換不同方向圖接收,令單通道等效為多通道,提高陣列自由度。
    發(fā)表于 02-20 11:01 ?358次閱讀
    基于超表面天線陣列的射頻前端與<b class='flag-5'>數(shù)字后端</b>聯(lián)合抗干擾方案

    模擬前端和數(shù)字后端哪個好 模擬前端和數(shù)字后端的區(qū)別

    模擬前端和數(shù)字后端都是電子系統(tǒng)設(shè)計中的重要環(huán)節(jié),它們各自扮演著不可或缺的角色,難以簡單地進行優(yōu)劣比較。
    的頭像 發(fā)表于 03-16 15:09 ?737次閱讀