0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用SystemVerilog調(diào)試布局方法

roborobo_0706 ? 來源:ExASIC ? 2023-12-02 16:08 ? 次閱讀

Xcelium工具中的SimVision是一個圖形化的工具,支持對SystemVerilog代碼的調(diào)試,同時內(nèi)置svdebug布局幫助用戶來調(diào)試基于System Verilog的驗證環(huán)境。

使用SystemVerilog調(diào)試布局

1d9cd5b0-90df-11ee-939d-92fbcf53809c.png

System Verilog調(diào)試布局由三部分組成。左上角Design Browser,可以訪問仿真對象,右上角為Source Browser,用來展示源碼,下側(cè)窗口為Waveform window,用來顯示波形。

啟動SystemVerilog調(diào)試布局的方式

命令行使用–layout svdebug來指定,如:

xrun –s –gui –layout svdebug –linedebug top_tb.sv

使用菜單欄切換布局

Window – Layout – SystemVerilog Debugging

設(shè)置SystemVerilog為默認(rèn)窗口布局的方式

啟動SimVison圖形界面

選擇Edit – Preferences

打開Layouts 標(biāo)簽

選擇System Verilog Debugging為默認(rèn)窗口布局

1dcba6e2-90df-11ee-939d-92fbcf53809c.png

通過Design Browser查看SystemVerilog對象

這里可以看到對象的實例,包括它們之間的層級關(guān)系以及各個對象的數(shù)據(jù)成員和包含的task和function。還可以看到module實例及其層級關(guān)系。需要查看源碼,可以右擊目標(biāo)選擇Send to Source Browser。

1de72c28-90df-11ee-939d-92fbcf53809c.png

使用Waveform Window查看SystemVerilog對象

該窗口支持對 Static variables / Class variables /Queues / Packed structures / Packed arrays / Associative arrays / Dynamicarrays對象的查看

1df7f15c-90df-11ee-939d-92fbcf53809c.png

使用Schematic Tracer查看SystemVerilog對象

Schematic Tracer用來顯示interface、modports與rtl之間的連接關(guān)系。

1e09de3a-90df-11ee-939d-92fbcf53809c.png

其他SystemVerilog調(diào)試窗口

SystemVerilog Class Browser

1e12b8c0-90df-11ee-939d-92fbcf53809c.png

Data Browser

1e22d6f6-90df-11ee-939d-92fbcf53809c.png

Constraints Debugger

1e39b6be-90df-11ee-939d-92fbcf53809c.png

審核編輯:黃飛

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109714
  • Layout
    +關(guān)注

    關(guān)注

    14

    文章

    398

    瀏覽量

    61496
  • Xcelium
    +關(guān)注

    關(guān)注

    1

    文章

    5

    瀏覽量

    5855

原文標(biāo)題:xcelium筆記 | SimVision調(diào)試SystemVerilog簡介

文章出處:【微信號:ExASIC,微信公眾號:ExASIC】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SystemVerilog中的Virtual Methods

    SystemVerilog中多態(tài)能夠工作的前提是父類中的方法被聲明為virtual的。
    發(fā)表于 11-28 11:12 ?626次閱讀

    SystemVerilog 的VMM驗證方法學(xué)教程教材

    SystemVerilog 的VMM 驗證方法學(xué)教程教材包含大量經(jīng)典的VMM源代碼,可以實際操作練習(xí)的例子,更是ic從業(yè)人員的絕佳學(xué)習(xí)資料。SystemVerilog 的VMM 驗證方法
    發(fā)表于 01-11 11:21

    [啟芯公開課] SystemVerilog for Verification

    學(xué)快速發(fā)展,這些趨勢你了解嗎?SystemVerilog + VM是目前的主流,在未來也將被大量采用,這些語言和方法學(xué),你熟練掌握了嗎?對SoC芯片設(shè)計驗證感興趣的朋友,可以關(guān)注啟芯工作室推出的SoC芯片
    發(fā)表于 06-10 09:25

    systemverilog學(xué)習(xí)教程

    systemverilog的一些基本語法以及和verilog語言之間的區(qū)別。
    發(fā)表于 04-01 14:24

    做FPGA工程師需要掌握SystemVerilog嗎?

    在某大型科技公司的招聘網(wǎng)站上看到招聘邏輯硬件工程師需要掌握SystemVerilog語言,感覺SystemVerilog語言是用于ASIC驗證的,那么做FPGA工程師有沒有必要掌握SystemVerilog語言呢?
    發(fā)表于 08-02 20:30

    SystemVerilog有哪些標(biāo)準(zhǔn)?

    SystemVerilog有哪些標(biāo)準(zhǔn)?
    發(fā)表于 06-21 08:09

    SystemVerilog Assertion Handbo

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:08 ?188次下載

    SystemVerilog的斷言手冊

    SystemVerilog Assertion Handbook1 ROLE OF SYSTEMVERILOG ASSERTIONSIN A VERIFICATION METHODOLOGY
    發(fā)表于 07-22 14:12 ?20次下載

    基于事件結(jié)構(gòu)的SystemVerilog指稱語義

    本文利用形式化的方法SystemVerilog的指稱語義進(jìn)行研究,采用EBES(extendedbundle event structure)作為抽象模型,以便更好的描述SystemVerilog真并發(fā)的特點。我們的主要工作是
    發(fā)表于 12-22 14:01 ?12次下載

    基于SystemVerilog語言的驗證方法學(xué)介紹

    文章主要介紹《VMM for SystemVerilog》一書描述的如何利用SystemVerilog語言,采用驗證方法學(xué)以及驗證庫開發(fā)出先進(jìn)驗證環(huán)境。文章分為四部分,第一部分概述了用Syst
    發(fā)表于 05-09 15:22 ?52次下載
    基于<b class='flag-5'>SystemVerilog</b>語言的驗證<b class='flag-5'>方法</b>學(xué)介紹

    SystemVerilog的正式驗證和混合驗證

    手冊的這一部分探討了使用SystemVerilog進(jìn)行驗證,然后查看了使用SystemVerilog的優(yōu)點和缺點。
    發(fā)表于 03-29 10:32 ?24次下載

    SystemVerilog中的操作方法

    SystemVerilog提供了幾個內(nèi)置方法來支持?jǐn)?shù)組搜索、排序等功能。
    的頭像 發(fā)表于 10-31 10:10 ?2500次閱讀

    怎樣去使用SystemVerilog中的Static方法

    systemverilog方法也可以聲明為“static”。靜態(tài)方法意味著對類的所有對象實例共享。在內(nèi)存中,靜態(tài)方法的聲明存儲在一個同一個地方,所有對象實例都可以訪問。
    的頭像 發(fā)表于 11-18 09:31 ?945次閱讀

    簡述SystemVerilog的隨機(jī)約束方法

    上一篇文章介紹了SystemVerilog的各種隨機(jī)化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機(jī)約束方法(constraints)。通過使用隨機(jī)約束,我們可以將隨機(jī)限制
    的頭像 發(fā)表于 01-21 17:03 ?1872次閱讀

    SystemVerilog的隨機(jī)約束方法

    上一篇文章《暗藏玄機(jī)的SV隨機(jī)化》介紹了SystemVerilog的各種隨機(jī)化方法,本文將在其基礎(chǔ)上引入SystemVerilog的隨機(jī)約束方法(constraints)。通過使用隨機(jī)
    的頭像 發(fā)表于 09-24 12:15 ?1213次閱讀