0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

什么是幀緩存?在FPGA上關(guān)于DDR的幀緩存介紹

FPGA研究院 ? 來源:FPGA視頻圖像處理 ? 2023-12-06 09:14 ? 次閱讀

什么是幀緩存(frmbuf)

視頻處理系統(tǒng)中,將輸入的圖像以幀為單位儲存到存儲器(DDR)中,再根據(jù)輸出時序需求,讀取圖像并輸出,達(dá)到幀率變換、暫停顯示的目的。

e6121fe8-93c6-11ee-939d-92fbcf53809c.png

在大部分應(yīng)用中,視頻輸入輸出的數(shù)量通常都不止一組,也就是需要多個幀緩存進(jìn)行視頻的存儲;

e61cc466-93c6-11ee-939d-92fbcf53809c.png

如果一個系統(tǒng)中存在多路視頻輸入,而只有一路視頻輸出,那么通常在幀緩存后還要將多路視頻疊加在一起才可以進(jìn)行輸出;

e62b8ee2-93c6-11ee-939d-92fbcf53809c.png

常用的幀緩存設(shè)計

在常規(guī)的視頻處理平臺中,為了實現(xiàn)多路視頻的任意疊加,會將輸入到系統(tǒng)中的所有視頻都緩存到DDR中,進(jìn)行疊加時,將所有圖層的完整視頻從DDR讀出,再根據(jù)層疊關(guān)系進(jìn)行疊加;

e6363a90-93c6-11ee-939d-92fbcf53809c.png

e646dd46-93c6-11ee-939d-92fbcf53809c.png

優(yōu)點:

操作簡單

更改疊加位置時可以立刻響應(yīng)

可拓展透明疊加功能

缺點:

每一個圖層都緩存完整的視頻,占用的DDR帶寬較大,尤其 是在多圖層系統(tǒng)中。

FPGA實現(xiàn)存儲4K@60輸入,4K@60輸出的視頻為例:RGB444 24BIT像素

寫有效帶寬:3840*2160*24*60大約為12Gbit

讀有效帶寬:3840*2160*24*60大約為12Gbit

總的需要占用DDR帶寬為24Gbit。








審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598930
  • 存儲器
    +關(guān)注

    關(guān)注

    38

    文章

    7366

    瀏覽量

    163092
  • DDR
    DDR
    +關(guān)注

    關(guān)注

    11

    文章

    697

    瀏覽量

    64936
  • RGB
    RGB
    +關(guān)注

    關(guān)注

    4

    文章

    785

    瀏覽量

    58205

原文標(biāo)題:在FPGA上關(guān)于DDR的幀緩存介紹

文章出處:【微信號:FPGA研究院,微信公眾號:FPGA研究院】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGA同步系統(tǒng)設(shè)計方案

    本文介紹了集中式插入法同步系統(tǒng)的原理,分析了同步系統(tǒng)的工作流程。采用模塊化的設(shè)計思想,利用VHDL設(shè)計了同步參數(shù)可靈活配置的同步系統(tǒng),闡述了關(guān)鍵部件的設(shè)計方法,提出了一種基于
    發(fā)表于 11-11 13:36 ?4719次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>幀</b>同步系統(tǒng)設(shè)計方案

    基于FPGA與的VHDL語言驅(qū)動時序發(fā)生器與數(shù)據(jù)緩存器的一體化設(shè)計

    本文分析了 Sarnoff公司的 VCCD512H型轉(zhuǎn)移面陣 CCD芯片的特性和工作過程后,結(jié)合整個 CCD相機(jī)電子系統(tǒng)的要求,完成了基于 FPGA技術(shù)的驅(qū)動時序發(fā)生器與數(shù)據(jù)緩存
    的頭像 發(fā)表于 01-04 07:55 ?4009次閱讀
    基于<b class='flag-5'>FPGA</b>與的VHDL語言驅(qū)動時序發(fā)生器與數(shù)據(jù)<b class='flag-5'>緩存</b>器的一體化設(shè)計

    LOTO示波器軟件PC緩存(波形錄制與回放)功能

    是一樣的。 PC緩存幀數(shù)量最大支持500,高級頁中進(jìn)行設(shè)置,也可以在上圖中的設(shè)置頁面里設(shè)置PC緩存幀數(shù)量。 示波器模式(非采集
    發(fā)表于 05-16 11:23

    視頻相鄰相減,怎么解決圖片緩存問題

    如題,用攝像頭實時采集視頻,想讓相鄰相減,或者隔幾相減,怎么解決圖片緩存問題?附上視頻圖像累減程序。萬望高手答疑解惑,不勝感激!
    發(fā)表于 04-21 11:24

    【AC620 FPGA試用申請】以太網(wǎng)傳圖緩存設(shè)計

    項目名稱:以太網(wǎng)傳圖緩存設(shè)計試用計劃:拿到開發(fā)板后,先熟悉開發(fā)板各個接口并做相應(yīng)的驗證,然后結(jié)合網(wǎng)口,外部儲存SDRAMTFT顯示屏等做一個以太網(wǎng)傳圖緩存設(shè)計的小項目。
    發(fā)表于 06-19 15:32

    【AC620 FPGA試用體驗】+以太網(wǎng)傳圖緩存系統(tǒng)設(shè)計 附工程源碼(結(jié)項)

    本帖最后由 zengkf0102 于 2017-9-17 16:43 編輯 一、項目概述本項目是在學(xué)習(xí)了小梅哥團(tuán)隊創(chuàng)作的《FPGA自學(xué)筆記——設(shè)計與驗證》一書中串口傳圖緩存設(shè)計和小梅哥
    發(fā)表于 09-17 16:43

    FPGA的圖像緩存部分電路是怎么寫的

    到的一圖像數(shù)據(jù)保存到 SRAM 中,此時 DSP 等待;第一次采樣結(jié)束后,DSP 與 FPGA 進(jìn)行總線切換,分別連接到與上次不同的 SRAM 上,DSP開始讀取數(shù)據(jù),FPGA
    發(fā)表于 12-10 09:54

    差法FPGA實現(xiàn)原理

    端口用做緩存,另一個端口用來緩存視頻流,如圖所示。使用SDRAM雙端口時,只要合理控制好讀寫地址,即可實現(xiàn)SDRAM兩個讀寫端口獨(dú)立運(yùn)行。其中,SDRAM1端口用來作為
    發(fā)表于 04-12 23:29

    關(guān)于內(nèi)存可緩存性的疑問怎么解釋

    緩存性實際上是在內(nèi)存DDR2中劃定一塊區(qū)域(其起始位置為baseAddr、區(qū)域長度為),只有存儲該區(qū)域的變量才能被緩存控制器讀取并存儲到緩存
    發(fā)表于 05-21 07:29

    如何完成基于FPGA技術(shù)的驅(qū)動時序發(fā)生器與數(shù)據(jù)緩存器的一體化設(shè)計?

    本文分析了Sarnoff公司的VCCD512H型轉(zhuǎn)移面陣CCD芯片的特性和工作過程后,結(jié)合整個CCD相機(jī)電子系統(tǒng)的要求,完成了基于FPGA技術(shù)的驅(qū)動時序發(fā)生器與數(shù)據(jù)緩存器的一體化設(shè)
    發(fā)表于 06-08 06:35

    同步系統(tǒng)的FPGA設(shè)計

    從時分復(fù)接系統(tǒng)對同步系統(tǒng)的性能要求出發(fā),提出了一種采用FPGA實現(xiàn)同步系統(tǒng)的設(shè)計方案,重點介紹了同步保護(hù)電路的設(shè)計,并給出了FPGA設(shè)計
    發(fā)表于 08-06 16:46 ?24次下載

    基于FPGA的高速圖形存設(shè)計

    存 是圖形顯示系統(tǒng)的核心部件之一,存的設(shè)計關(guān)系到系統(tǒng)的整體性能。介紹了一種高速圖形存的設(shè)計方法。該高速存采用SRAM作為存儲體,應(yīng)用
    發(fā)表于 07-13 17:57 ?95次下載
    基于<b class='flag-5'>FPGA</b>的高速圖形<b class='flag-5'>幀</b>存設(shè)計

    渲染中的緩存和深度緩存

    渲染涉及大量的緩存,這里緩存只是一個簡單的存有像素數(shù)據(jù)的矩形內(nèi)存塊,最重要緩存緩存和深度緩存
    的頭像 發(fā)表于 05-14 11:44 ?6188次閱讀
    渲染中的<b class='flag-5'>幀</b><b class='flag-5'>緩存</b>和深度<b class='flag-5'>緩存</b>

    簡述OpenGL緩沖區(qū)多線(FBO)基本概念

    緩存:它是屏幕所顯示畫面的一個直接映象,又稱為位映射圖(Bit Map)或光柵。緩存的每一存儲單元對應(yīng)屏幕上的一個像素,整個
    的頭像 發(fā)表于 03-24 15:56 ?3493次閱讀

    LOTO示波器軟件PC緩存(波形錄制與回放)功能

    當(dāng)打開PC緩存功能后,軟件將采用先進(jìn)先出的原則排隊對示波器采集的每一數(shù)據(jù),進(jìn)行緩存。當(dāng)發(fā)現(xiàn)屏幕中有感興趣的波形掠過時,鼠標(biāo)點擊軟件的(暫停)按鈕,可以選擇回看某一
    的頭像 發(fā)表于 05-16 14:15 ?380次閱讀
    LOTO示波器軟件PC<b class='flag-5'>緩存</b>(波形錄制與回放)功能