0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Efinity Interface Designer報(bào)錯(cuò)案例-v1

XL FPGA技術(shù)交流 ? 來源:易靈思FPGA技術(shù)交流 ? 作者:易靈思FPGA技術(shù)交流 ? 2023-12-12 09:52 ? 次閱讀

(1)ERROR:Interface Designer constraint generation was not successfull,will not proceed to efx_pnr...

13d2db7e-9891-11ee-be60-92fbcf53809c.png

原因:(1)有些客戶使用Win7版本,目前Efinity對(duì)Win7的支持不好。建議升級(jí)成win10。

(2)殺毒軟件刪除了文件,實(shí)際interface生成約束是沒有問題的,客戶pnr的時(shí)候就報(bào)錯(cuò),需要重新安裝軟件。

(3)電腦存在加密系統(tǒng) 。造成的現(xiàn)象是新建工程時(shí)interface可以打開,但是生成xxx.peri.xml文件之后再次打開就會(huì)報(bào)錯(cuò)。

(2)Interface打不開。

現(xiàn)象:(1)打開interface的時(shí)候指示: Efinity Interface Designer finished. Exit code = 1 Exit status : Normal Interface design file exists, check and migrate done 13e3bfde-9891-11ee-be60-92fbcf53809c.png (2)新建工程第一次可以打開interface Designer (3)刪除xxx.peri.xml之后,第一次也可以打開Interface Designer. 原因:電腦存在加密 ? (3) interface打不開 打開interface Designer時(shí)會(huì)報(bào)以下錯(cuò)誤。 EfinityIPCatalogfinished.Exit code = 0Exit status:Normal

13fc2394-9891-11ee-be60-92fbcf53809c.jpg

編譯過程可能報(bào)以下錯(cuò)誤:

ERROR: Interface Designer constraint generation was not successful, will not processpnr..

1417637a-9891-11ee-be60-92fbcf53809c.png

解決方案:安裝VC_redist.x64.exe,注意參考軟件安裝指導(dǎo)的版本。

(4)repeated,non-bussed pin found in verilog template generation:clk_27m

144378fc-9891-11ee-be60-92fbcf53809c.png

說明:在GPIO處定義了一個(gè)clk_27m,在pll的輸出上又定義了一個(gè)clk_27m,兩個(gè)信號(hào)名沖突。

(5)ERROR: Interface Designer constraint generation was not successful, will not processpnr..

145f906e-9891-11ee-be60-92fbcf53809c.png

原因:1)一般是軟件有360或者別的殺毒軟件的相關(guān)文件刪除了,需要找回文件或者重新安裝軟件。

2)客戶使用Win7版本,目前Efinity對(duì)Win7的支持不好。建議升級(jí)成win10。

(6)cannot connect to more than 4 different clocks per region on left and right

14aa7dd6-9891-11ee-be60-92fbcf53809c.png

14bb30f4-9891-11ee-be60-92fbcf53809c.png

原因是在pinout文件中對(duì)應(yīng)的Clock Region中,不能超過4個(gè)時(shí)鐘去驅(qū)動(dòng)。

也就是GPIOR_PN_42,41,40三組差分對(duì),不能由兩組LVDS來驅(qū)動(dòng),因?yàn)槊拷MLVDS時(shí)鐘有l(wèi)vds_fast_clk和lvds_slow_clk兩個(gè),兩組就會(huì)有4個(gè)時(shí)鐘在Region clock R13區(qū)域。

結(jié)論就是把LVDS差分對(duì)放在同一個(gè)Clock Region.

(7)Resource name is empty

14d3f30a-9891-11ee-be60-92fbcf53809c.png

解決方案:Resource是指管腳,這里是指沒有分配管腳。

(8)用新版本軟件打開老版本工程時(shí)interface Desinger打不開

14eddea0-9891-11ee-be60-92fbcf53809c.jpg


發(fā)給客戶的peri.xml,客戶打不開有問題,叫他們文本打開peri看看,有些客戶沒有動(dòng)peri文件,但是內(nèi)容卻改了

(9) Valid characters are alphanumeric haracters with dash and underscore only

150d84e4-9891-11ee-be60-92fbcf53809c.png

原因:在LVDS添加Block時(shí)不能添加bus,所以在Input Pin/Bus Name中命名上不能像總線一樣添加[*]

(10)interface Designer打不開定位思路。

152cd510-9891-11ee-be60-92fbcf53809c.png

原因:查一下下面幾點(diǎn):

1. 系統(tǒng)環(huán)境路徑設(shè)置

1547c654-9891-11ee-be60-92fbcf53809c.png

2. python是否在firewall 允許的程序列表里

155e4cc6-9891-11ee-be60-92fbcf53809c.png

3. microsoft visual c++2015有安裝嗎?

這個(gè)有一個(gè)安裝包,在打不開的時(shí)候可以安裝

4. java 8有安裝嗎?

(11)燒寫文件無法生成 Using source file "D:/customer_Prj/googol/phy_jtag_bridge/work_pnr/spi_flash_loader.lbf" Missing Interface Designer LPF constraint file, no programming file will be generated. Open Interface Designer to createa project. 原因:如果在interface Desinger中沒有添加接口是不會(huì)生成bit文件的。

審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Designer
    +關(guān)注

    關(guān)注

    0

    文章

    118

    瀏覽量

    35606
  • 報(bào)錯(cuò)
    +關(guān)注

    關(guān)注

    0

    文章

    2

    瀏覽量

    9378
  • Interface
    +關(guān)注

    關(guān)注

    0

    文章

    103

    瀏覽量

    38570
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Efinity編譯生成文件使用指導(dǎo)-v1

    接上篇: (6)查看Unassigned Core Pins。 在placement下面的palce.rpt文件中搜索 Unassigned C ore Pins就可以看到。它說明這些管腳沒有用于內(nèi)部連接。 大家可以點(diǎn)擊這個(gè)鏈接查看上文 Efinity編譯生成文件使用指導(dǎo)
    的頭像 發(fā)表于 08-13 14:22 ?394次閱讀
    <b class='flag-5'>Efinity</b>編譯生成文件使用指導(dǎo)-<b class='flag-5'>v1</b>

    Efinity debugeri常見問題總結(jié)-v1

    (1)UUID mismatch Efinity在Debug時(shí)會(huì)出現(xiàn)UUID mismatch錯(cuò)誤。很多剛開始使用的人經(jīng)常遇到。下面我們做一個(gè)總結(jié)。歡迎遇到案例時(shí)共同分享。 一般有以下幾種原因 (1
    的頭像 發(fā)表于 05-20 16:53 ?513次閱讀
    <b class='flag-5'>Efinity</b> debugeri常見問題總結(jié)-<b class='flag-5'>v1</b>

    SC171開發(fā)套件V1 技術(shù)資料

    SC171開發(fā)套件V1 技術(shù)資料 課程類別 鏈接 硬件平臺(tái)介紹及使用(SC171開發(fā)套件V1 ) https://bbs.elecfans.com/jishu_2421547_1_1.html 安卓
    發(fā)表于 05-09 17:58

    CMSIS-RTOS V1V2的區(qū)別是什么?

    最近的學(xué)習(xí)FreeRTOS,看到STM32CubeMX分別用CMSIS-RTOS V1,V2進(jìn)行封裝,請(qǐng)教CMSIS-RTOS V1V2的有什么區(qū)別?如果用在產(chǎn)品項(xiàng)目,哪個(gè)版本合適?
    發(fā)表于 04-11 06:06

    Efinity Interface Designer報(bào)錯(cuò)案例-v2

    ? (1)ERROR:Interface Designer constraint generation was not successfull,will not proceed
    的頭像 發(fā)表于 04-07 08:41 ?1007次閱讀
    <b class='flag-5'>Efinity</b> <b class='flag-5'>Interface</b> <b class='flag-5'>Designer</b><b class='flag-5'>報(bào)錯(cuò)案</b>例-<b class='flag-5'>v</b>2

    全志A527主板規(guī)格書-V1

    全志A527主板規(guī)格書-V1
    發(fā)表于 01-26 15:49 ?4次下載

    R304S指紋模塊產(chǎn)品手冊(cè)v1

    R304S指紋模塊產(chǎn)品手冊(cè)v1
    發(fā)表于 01-22 14:02 ?0次下載

    R302指紋識(shí)別模塊用戶手冊(cè)-V1

    R302指紋識(shí)別模塊用戶手冊(cè)-V1
    發(fā)表于 01-22 14:00 ?1次下載

    LT8228從V1V2是BUCK模式,從V2到V1是BOOST模式,請(qǐng)問這個(gè)模式是固定的嗎?

    看LT8228的示例,從V1V2是BUCK模式,從V2到V1是BOOST模式,請(qǐng)問,這個(gè)模式是固定的嗎? 假如我輸入V1是48
    發(fā)表于 01-05 07:10

    飛凌嵌入式OK-D9360-C開發(fā)板_用戶使用手冊(cè)_V1

    OK-D9360-C_Linux4.14.61+Qt5.15.2_用戶使用手冊(cè)_V1
    發(fā)表于 12-19 09:35 ?4次下載

    Efinity Interface Designer報(bào)錯(cuò)案例-v0

    (1)ERROR:Interface Designer constraint generation was not successfull,will not proceed
    的頭像 發(fā)表于 12-12 09:52 ?678次閱讀
    <b class='flag-5'>Efinity</b> <b class='flag-5'>Interface</b> <b class='flag-5'>Designer</b><b class='flag-5'>報(bào)錯(cuò)案</b>例-<b class='flag-5'>v</b>0

    RK3568 安防人臉門禁YNH-570規(guī)格書V1

    RK3568 安防人臉門禁YNH-570規(guī)格書V1
    發(fā)表于 12-11 10:48 ?2次下載

    RK3588處理器YNH-732規(guī)格書-V1

    RK3588處理器YNH-732規(guī)格書-V1
    發(fā)表于 12-11 10:45 ?4次下載

    GSM開發(fā)板V1調(diào)試手冊(cè)

    電子發(fā)燒友網(wǎng)站提供《GSM開發(fā)板V1調(diào)試手冊(cè).pdf》資料免費(fèi)下載
    發(fā)表于 11-17 14:50 ?0次下載
    GSM開發(fā)板<b class='flag-5'>V1</b>調(diào)試手冊(cè)

    示波器DSO804A開機(jī)自檢失敗報(bào)錯(cuò)案

    近日某院校送修三臺(tái)是德示波器DSO804A,客戶反饋示波器開機(jī)自檢失敗報(bào)錯(cuò),對(duì)儀器進(jìn)行初步檢測(cè),確定與客戶描述故障一致。本期將為大家分享本維修案例。 下面就是是德-DSO804A維修情況 ? 是德
    的頭像 發(fā)表于 10-27 15:52 ?680次閱讀
    示波器DSO804A開機(jī)自檢失敗<b class='flag-5'>報(bào)錯(cuò)案</b>例