0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片后仿之SDF 3.0解析

全棧芯片工程師 ? 來源:全棧芯片工程師 ? 2023-12-18 09:56 ? 次閱讀

SDF文件是在VCS/NC-Verilog仿真運行時將STD/IO/Macro門級verilog中specify的延遲信息替換為QRC/Star-RC抽取的實際物理延時信息,所以如果SDF文件的條件信息在verilog的specify中沒有的話,就會報SDFCOM_INF的warning,意思是IOPATH not found。

本文解析SDF的Header Section信息、Cell Entries信息,尤其重點講解Cell Entries的Delay Entries信息。

下文先講SDF文件的第一部分Header Section

19ea9c2e-9bff-11ee-8b88-92fbcf53809c.png

SDF Version Entry,包括1.0、2.1、3.0,SDF3.0是1995年release。

Design Name Entry,設(shè)計頂層名

Date Entry,SDF生成日期,PT或Tempus產(chǎn)生

Vendor Entry,Vendor信息,如下圖

1a00de9e-9bff-11ee-8b88-92fbcf53809c.png

Program Version Entry

Hierarchy Divider Entry

Voltage Entry,SDF3.0官方解釋如下

1a07fe18-9bff-11ee-8b88-92fbcf53809c.png

Process Entry,SDF3.0官方解釋如下

1a147bde-9bff-11ee-8b88-92fbcf53809c.png

Temperature Entry,SDF3.0官方解釋如下

1a205b98-9bff-11ee-8b88-92fbcf53809c.png

1a2f25d8-9bff-11ee-8b88-92fbcf53809c.png

Timescale Entry,SDF3.0官方解釋如下

1a3bf574-9bff-11ee-8b88-92fbcf53809c.png

下文繼續(xù)講解SDF文件的第二部分Cell Entries:

1a4ac220-9bff-11ee-8b88-92fbcf53809c.png 完整的Delay Entries信息格式如下:

1a54529a-9bff-11ee-8b88-92fbcf53809c.png

PATHPULSE

PATHPULSEPERCENT

ABSOLUTE Delays

INCREMENT Delays

1. PATHPULSE 舉例說明:

1a63dfd0-9bff-11ee-8b88-92fbcf53809c.png

當(dāng)從i1到o1輸出的低電平脈沖小于13ns時,該低電平脈沖直接被忽略;

當(dāng)從i1到o1輸出的低電平脈沖介于13ns~21ns時,輸出為X態(tài);

當(dāng)從i1到o1輸出的低電平脈沖大于21ns時,正常輸出低電平;

1a6d770c-9bff-11ee-8b88-92fbcf53809c.png 2. PATHPULSEPERCENT 本質(zhì)上和PATHPULSE是一回事,只不過是按照path延時比例來計算什么時候丟棄輸入脈沖寬度不足的信號(pulse rejection limit),什么時候?qū)⑤斎朊}沖寬度不足的信號顯示為X態(tài)(X limit)。比如:

1a7412d8-9bff-11ee-8b88-92fbcf53809c.png

以上圖為例,the high-to-low delay is 37, 因此,the pulse
rejection limit is 25% of 37 and the X limit is 35% of 37. 3. ABSOLUTE Delays ABSOLUTE Delays中的物理延時數(shù)據(jù)就是用來替換verilog specify中的延時數(shù)據(jù)的。

4. INCREMENT Delays

用來疊加在verilog specify中的延時數(shù)據(jù)上的,INCREMENT Delays是存在負(fù)數(shù)的情況的,疊加后的延時若是負(fù)數(shù)的話,某些EDA工具可能不支持或直接強制延時為0。

1a7d277e-9bff-11ee-8b88-92fbcf53809c.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417224
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3972

    瀏覽量

    132967
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109721

原文標(biāo)題:芯片后仿之SDF 3.0解析(一)

文章出處:【微信號:全棧芯片工程師,微信公眾號:全棧芯片工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    芯片仿SDF 3.0解析(三)

    本文接著解析SDF3.0的Timing Checks Entries、Timing Environment Entries兩個部分。
    的頭像 發(fā)表于 04-16 11:08 ?1171次閱讀
    <b class='flag-5'>芯片</b><b class='flag-5'>后</b><b class='flag-5'>仿</b><b class='flag-5'>之</b><b class='flag-5'>SDF</b> <b class='flag-5'>3.0</b><b class='flag-5'>解析</b>(三)

    仿出現(xiàn)了10種警告。。。求助

    仿出現(xiàn)了x態(tài),前仿過了?,F(xiàn)在還沒帶sdf呢,考慮是異常的warning,但我警告太多了。。。有的警告有一百多個,截圖如下。各位大神幫忙看下,是哪種警告讓我
    發(fā)表于 05-30 16:10

    運行實現(xiàn)時序仿真重新生成sdf文件

    / I1(0:0:0)(0:0:0))當(dāng)我重新運行實現(xiàn)時序仿真時,該sdf文件將重新生成實際/先前的延遲約束。有沒有辦法保留編輯過的sdf文件并模擬而不重新生成它?提前致謝以上來自于谷歌翻譯以下為原文
    發(fā)表于 11-07 11:30

    如何將PT產(chǎn)生的SDF文件反標(biāo)設(shè)計進行仿真?

    RT,現(xiàn)在后端已把PR數(shù)據(jù)導(dǎo)出交付給了前端,我想問問,如何將PT產(chǎn)生的SDF文件反標(biāo)設(shè)計進行仿真呢?現(xiàn)在知道需要將SDF文件引入到testbench里,所以問題很簡單如何在testbench里加
    發(fā)表于 06-23 06:50

    SDF是什么?有何應(yīng)用

    SDF-4.0隧道施工風(fēng)機技術(shù)***隧道施工風(fēng)機(SDF)系列
    發(fā)表于 09-02 09:09

    NodeMCU V3.0 Arduino開發(fā)點燈測試程序

    NodeMCU V3.0 Arduino開發(fā)點燈測試程序手頭到了一個NodeMCU v3.0,3.0版本和2.0差別不大,只是v2.0串口芯片是CP2101,而v
    發(fā)表于 11-01 06:29

    NodeMCU V3.0 Arduino開發(fā)點燈

    NodeMCU V3.0 Arduino開發(fā)點燈測試程序手頭到了一個NodeMCU v3.0,3.0版本和2.0差別不大,只是v2.0串口芯片是CP2101,而v
    發(fā)表于 10-25 18:21 ?14次下載
    NodeMCU V<b class='flag-5'>3.0</b> Arduino開發(fā)<b class='flag-5'>之</b>點燈

    STM8S全解析系列文章3---STM8S芯片配置,軟件框架搭建

    STM8S全解析—系列文章3.STM8S芯片項目軟件框架的搭建提示:這里可以添加系列文章的所有文章的目錄,目錄需要自己手動添加例如:第一章 Python 機器學(xué)習(xí)入門pandas的使用提示:寫完
    發(fā)表于 12-03 14:21 ?7次下載
    STM8S全<b class='flag-5'>解析</b><b class='flag-5'>之</b>系列文章3---STM8S<b class='flag-5'>芯片</b>配置,軟件框架搭建

    "STM8S全解析系列文章5---STM8S芯片項目驅(qū)動層(主講UART,I2C,IO,AD)"

    pandas的使用提示:寫完文章,目錄可以自動生成,如何生成可參考右邊的幫助文檔文章目錄STM8S全解析系列文章5---STM8S芯片項目驅(qū)動層(主講UARTI2CIOAD)前言一
    發(fā)表于 12-03 15:51 ?9次下載
    "STM8S全<b class='flag-5'>解析</b><b class='flag-5'>之</b>系列文章5---STM8S<b class='flag-5'>芯片</b>項目驅(qū)動層(主講UART,I2C,IO,AD)"

    ZigBee3.0數(shù)據(jù)包解析

    ZigBee3.0是ZigBee聯(lián)盟推出的可以互聯(lián)互通的標(biāo)準(zhǔn)協(xié)議,用之前的Packet Sniffer抓包工具是無法解析ZigBee3.0的數(shù)據(jù)包,因ZigBee3.0的安全機制所有的
    發(fā)表于 02-28 11:48 ?2568次閱讀
    ZigBee<b class='flag-5'>3.0</b>數(shù)據(jù)包<b class='flag-5'>解析</b>

    Python在IC中的應(yīng)用——文本處理

    SDF仿中往往生成的log中會有一些違例信息,Timing violation路徑或者$setuphold違例,這些信息混雜在后仿log中。
    發(fā)表于 03-07 14:55 ?741次閱讀

    什么是數(shù)字后仿?淺談芯片數(shù)字后仿的那些事

    這是相對于數(shù)字前仿來說的。從概念上來說,數(shù)字驗證包含兩方面的內(nèi)容,數(shù)字前仿和數(shù)字后仿。
    的頭像 發(fā)表于 03-15 14:51 ?8301次閱讀

    解析SDF的Header Section信息與Cell Entries信息

    SDF文件是在VCS/NC-Verilog仿真運行時將STD/IO/Macro門級verilog中specify的延遲信息替換為QRC/Star-RC抽取的實際物理延時信息。
    的頭像 發(fā)表于 05-06 09:54 ?1902次閱讀
    <b class='flag-5'>解析</b><b class='flag-5'>SDF</b>的Header Section信息與Cell Entries信息

    詳解芯片SDF文件 MCU芯片全流程設(shè)計

    SDF文件是在VCS/NC-Verilog仿真運行時將STD/IO/Macro門級verilog中specify的延遲信息替換為QRC/Star-RC抽取的實際物理延時信息。
    發(fā)表于 05-08 10:30 ?1.1w次閱讀
    詳解<b class='flag-5'>芯片</b><b class='flag-5'>SDF</b>文件 MCU<b class='flag-5'>芯片</b>全流程設(shè)計

    芯片仿SDF反標(biāo)

    相對于RTL仿真,門級仿真占用的計算資源雖然很多,但是在靜態(tài)時序檢查(STA)工具普遍應(yīng)用之前,帶時序的動態(tài)門級仿真幾乎可以說是唯一的timing sign-off手段了。
    的頭像 發(fā)表于 06-08 10:07 ?2313次閱讀
    <b class='flag-5'>芯片</b><b class='flag-5'>后</b><b class='flag-5'>仿</b>及<b class='flag-5'>SDF</b>反標(biāo)