0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

半導(dǎo)體的特征及工藝介紹

芯存社 ? 來源: 芯存社 ? 2023-12-25 11:18 ? 次閱讀

無可否認(rèn),不論是半導(dǎo)體技術(shù)還是其產(chǎn)業(yè)本身,都已經(jīng)成為所有市場中最大的產(chǎn)業(yè)之一。全球媒體、企業(yè)和政府也紛紛把目光投向了半導(dǎo)體工廠的下一個(gè)建設(shè)地。而每一次的技術(shù)革新都會進(jìn)一步增加對智能設(shè)備的需求,半導(dǎo)體芯片的重要性也隨之變得愈加突顯。

然而,人們對半導(dǎo)體的變遷史和崛起卻未必同樣熟悉。從家用電器到智能手機(jī),半導(dǎo)體是驅(qū)動(dòng)電子設(shè)備不可或缺的元件。本期文章就來追溯一下這一核心元件的起源,了解一下它是如何成為我們?nèi)粘I畹闹匾M成部分的。

以下六部分將詳細(xì)介紹半導(dǎo)體的特征及工藝:“計(jì)算機(jī)與晶體管(Computers and Transistors)”、“工藝與氧化(Process and Oxidation)”、“光刻(Photolithography)”、“蝕刻(Etching)”、“沉積(Deposition)”和“金屬布線(Metal Wiring)”。這些文章著重于說明技術(shù)之間的相關(guān)性。

一、計(jì)算機(jī)、晶體管的問世與半導(dǎo)體

1人類的欲望 : 計(jì)算機(jī)的誕生

從家庭到職場,人類一直在探索可以將各種場景中的日?;顒?dòng)簡單化的方案。這也讓技術(shù)設(shè)備的不斷升級成了創(chuàng)新思想家們(Innovative thinker)一直關(guān)注的焦點(diǎn)。人類的這種欲望促使只能做簡單運(yùn)算的機(jī)器不斷升級為更實(shí)用、更精密的設(shè)備。

從古至今,人類從未停止過發(fā)明機(jī)器的腳步。1871年查爾斯·巴貝奇(Charles Babbage)的分析機(jī)(Analytical Machine)就是最具代表性的實(shí)驗(yàn)創(chuàng)舉。只要在分析機(jī)(Analytical Machine)插入名為穿孔卡片(Punched card/Punch card)的輸入信息載體,就可以進(jìn)行任何數(shù)學(xué)運(yùn)算:分析機(jī)讀取穿孔卡片的指令后,反復(fù)進(jìn)行各種數(shù)學(xué)運(yùn)算,最后在機(jī)器的另一頭輸出其結(jié)果值。就跟紅白機(jī)(Famicom)的運(yùn)作原理一樣,想玩什么游戲,就插什么游戲卡。

雖然分析機(jī)沒有最終完成,卻給我們帶來了啟發(fā)。分析機(jī)具備了現(xiàn)代計(jì)算機(jī)的所有設(shè)計(jì)思想:穿孔卡片和輸出設(shè)備相當(dāng)于現(xiàn)在的存儲器。所以說分析機(jī)就是CPU*的雛形。

查爾斯·巴貝奇(Charles Babbage)設(shè)計(jì)的分析機(jī)是用蒸汽作為動(dòng)力源的。簡言之,就是一臺用金屬和木材制作存儲器和CPU,并用蒸汽機(jī)驅(qū)動(dòng)的計(jì)算機(jī)??梢姡瑥哪菚r(shí)起,人們已經(jīng)開始形成有關(guān)計(jì)算機(jī)運(yùn)作原理的初步思想了,但沒有把計(jì)算機(jī)與“電路”掛鉤。那么,就讓我們來看看電路是如何成為現(xiàn)代計(jì)算機(jī)核心元件的吧。當(dāng)時(shí),分析機(jī)的出現(xiàn)并沒有帶來石破天驚的震撼,也沒有被廣泛接受,但如今,以電路為核心的計(jì)算機(jī)卻完全顛覆了世界。

*CPU:全稱為Central Processing Unit,中央處理器,相當(dāng)于計(jì)算機(jī)的大腦。

2電控計(jì)算機(jī)

以電路為基礎(chǔ)的設(shè)備,比蒸汽、人力和水力驅(qū)動(dòng)更先進(jìn)。因?yàn)樗梢愿?、更高效地控?a target="_blank">信號。以蒸汽驅(qū)動(dòng)為例,蒸汽必須要達(dá)到一定水平才可以運(yùn)轉(zhuǎn)機(jī)器,除了反應(yīng)速度慢之外,高壓輸送更需要使用厚實(shí)的輸送管,大大降低了功效。為了更形象地說明,假設(shè)我們要讓一扇門的開關(guān)受粗繩拉動(dòng)的控制:以蒸汽為動(dòng)力源的話,我們需要拉動(dòng)繩索以打開鍋爐閥門并驅(qū)動(dòng)蒸汽,隨后更要等上一段時(shí)間,待蒸汽到達(dá)能推開門的壓力強(qiáng)度;然而,如果以電力為動(dòng)力,只需一個(gè)按鈕和發(fā)動(dòng)機(jī)就夠了,機(jī)器的體積變小了,還能大大提高功效和反應(yīng)速度。

b150e958-a210-11ee-8b88-92fbcf53809c.png

電的發(fā)現(xiàn)讓人類用電控制計(jì)算機(jī)的想法開始萌生,并成為了當(dāng)時(shí)的一大主流思想。很多科學(xué)家開始嘗試用電力來驅(qū)動(dòng)計(jì)算機(jī),其中電子數(shù)字積分計(jì)算機(jī)(ENIAC,Electronic Numerical Integrator and Computer)就是這種嘗試的一大成果。與用齒輪和蒸汽動(dòng)力來驅(qū)動(dòng)的分析機(jī)不同,ENIAC采用了真空電子管和各種電路來驅(qū)動(dòng)計(jì)算機(jī)。從“真空電子管”這一名稱就不難看出,ENIAC的動(dòng)力源正是電力。

ENIAC的體積龐大,足以占據(jù)一個(gè)房間的面積。如此巨型的計(jì)算機(jī),耗電量也達(dá)到了170kW,與同時(shí)使用170臺微波爐的耗電量相當(dāng)。當(dāng)然,不愧于其龐大的體積和耗電量,ENIAC解決了當(dāng)時(shí)的不少問題。相比咯吱作響“慢悠悠”運(yùn)作的齒輪,采用17萬根真空電子管的ENIAC也有著算是“破天荒”的運(yùn)算速度。另外,ENIAC為氫彈的發(fā)明和仿真方法學(xué) (Simulation Methodology)的創(chuàng)立也做出了不可磨滅的貢獻(xiàn)。

然而,眾所周知,ENIAC的性能其實(shí)還趕不上20世紀(jì)90年代的手提電腦。為了驅(qū)動(dòng)一臺低性能的電子計(jì)算機(jī),功耗竟等同于同時(shí)運(yùn)作170臺微波爐,簡直難以置信。而且,如此龐大的身軀,談何普及?退一萬步說,就是把ENIAC的體積縮小到其十分之一,也無濟(jì)于事。毋庸置疑,相比上一代的蒸汽驅(qū)動(dòng)設(shè)備,ENIAC在性能方面的確進(jìn)步了不少。但想將其普及到“人手一臺”,在體積和效率方面還有很長一段路要走。顯然,ENIAC無法為人類創(chuàng)造其預(yù)想中的未來。世界呼喚進(jìn)一步的創(chuàng)新,晶體管應(yīng)運(yùn)而生。

3晶體管的問世

上文說道ENIAC采用了真空電子管,那這些電子元件的作用是什么呢?當(dāng)時(shí),人們已經(jīng)明白只要能控制信號就可以制成運(yùn)算機(jī)器。上文談到的蒸汽自動(dòng)門案例就是最好的證明:用粗繩(工具)控制蒸汽(信號),并設(shè)置了“只要拉繩就開門”的指令。電驅(qū)動(dòng)自動(dòng)門作為蒸汽驅(qū)動(dòng)的升級版,其運(yùn)作原理也是一樣,利用開關(guān)來控制流入引擎的電流,以此來完成對門的操作。

歸根到底,其實(shí)計(jì)算機(jī)就是在蒸汽自動(dòng)門的基礎(chǔ)上,增加了大量的輸入和輸出,然后在其內(nèi)部安裝數(shù)千個(gè)輸送管,連接形成各種復(fù)雜的邏輯結(jié)構(gòu)。蒸汽自動(dòng)門只有開門和關(guān)門的作用,但試想一下,在此基礎(chǔ)上,還可以進(jìn)一步延伸,比如用一根粗繩同時(shí)開兩扇門,或設(shè)計(jì)一款人站在門口時(shí)不會關(guān)閉的安全門等。以此類推,計(jì)算機(jī)就是在蒸汽自動(dòng)門的基礎(chǔ)上,不斷疊加升級的功能?!按掷K”和“蒸汽輸送管”就相當(dāng)于真空電子管。

b1762f1a-a210-11ee-8b88-92fbcf53809c.png

▲一個(gè)簡單操作就可以同時(shí)打開幾扇門的蒸汽驅(qū)動(dòng)自動(dòng)門 & 經(jīng)兩人同意才可以打開的自動(dòng)門

如果想進(jìn)一步升級“蒸汽計(jì)算機(jī)”的功能,改善整體性能,該怎么辦?我們可以增加蒸汽管數(shù)量,形成更多的功能,或安裝壓力更大、溫度更高的鍋爐,提高反應(yīng)速度等。原理雖說很簡單,但現(xiàn)實(shí)操作起來卻談何容易?

蒸汽管本身就很大,即使只添加一條管道,增加的體積也相當(dāng)可觀;想提高鍋爐的性能,不僅需要大量的能源,危險(xiǎn)性也會大大增加。當(dāng)時(shí),真空電子管是人類找到的最好的替代方案。它由電力驅(qū)動(dòng),沒有像高壓鍋爐爆炸那樣的危險(xiǎn),且運(yùn)作速度也達(dá)到了每秒數(shù)十次。當(dāng)然,真空電子管的缺點(diǎn)就是龐大的耗電量,因此個(gè)別真空電子管會經(jīng)常損壞。為了制造更好的計(jì)算機(jī),就要尋找比真空電子管更勝一籌的元件。

1947年,晶體管誕生了。晶體管可以用微小的電量控制大量電流的流動(dòng),可謂是顛覆性的創(chuàng)造。科學(xué)家發(fā)現(xiàn),只要使用以下兩種半導(dǎo)體元件,就可以輕而易舉地連接或斷開信號(參見下圖)。盡管其結(jié)構(gòu)有些復(fù)雜,但原理卻跟用粗繩控制蒸汽輸送的道理一樣。在晶體管誕生的那一年,人類發(fā)明了一款名叫 BJT*的產(chǎn)品,一直沿用至今。當(dāng)然,晶體管的問世,也讓半導(dǎo)體這一材料開始映入人們的眼簾。

*BJT:雙極結(jié)型晶體管(Bipolar Junction Transistor),即通過一定的工藝將半導(dǎo)體內(nèi)的P型半導(dǎo)體和N型半導(dǎo)體結(jié)合在一起(PN結(jié)合)制成的晶體管。

b17debce-a210-11ee-8b88-92fbcf53809c.png

▲晶體管的結(jié)構(gòu):使用N型和P型兩種半導(dǎo)體。(右圖摘自了解半導(dǎo)體制造技術(shù)的圖表)

4所有人的半導(dǎo)體:MOSFET的創(chuàng)新與制造技術(shù)

1959年,貝爾研究所的研究員默罕默德·阿塔拉(Mohamed M. Atalla)博士和姜大元(Dawon Kahang)博士共同發(fā)明了一種金屬氧化物半導(dǎo)體場效應(yīng)晶體管(MOSFET,Metal–Oxide–Semiconductor Field-Effect Transistor)。兩人在硅晶圓上形成了兩種半導(dǎo)體層,并在此之上堆疊金屬制成了平面型的晶體管。MOSFET的運(yùn)作原理與上一代晶體管雖有些不同,但使用方法卻大同小異,其最大亮點(diǎn)就是生產(chǎn)率。

wKgaomWI9S-Ab7thAAQRKdMsGVA334.png

▲姜大元博士的金屬氧化物半導(dǎo)體場效應(yīng)晶體管(MOSFET)模型結(jié)構(gòu)(摘自(株)圖書出版HANOL出版社)

得益于MOSFET的平面式結(jié)構(gòu),我們可以在硅晶圓上同時(shí)制造出好幾個(gè)MOSFET。這意味著,只要把單個(gè)MOSFET的大小控制好,在相同面積的晶圓上可以多制作數(shù)十倍的晶體管,還可以直接把單個(gè)的MOSFET連接在一起。假設(shè)采用BJT晶體管制作CPU,即使BJT的制作過程再高效,想把數(shù)億根BJT連接成CPU,仍然需要重復(fù)焊接以及將其固定在基板上的過程。相反,MOSFET可以一次性達(dá)到數(shù)億根晶體管結(jié)合好的狀態(tài)。正因?yàn)槿绱?,“在硅晶圓上形成的MOSFET集合”在物理學(xué)上被“剝奪”了“半導(dǎo)體”的頭銜。

接下來,我們將一探MOSFET的制作過程。我們常說,建造一個(gè)半導(dǎo)體工廠需要投數(shù)萬億(韓元)。出乎意料的是,如此的高投入其實(shí)就是為了以低成本生產(chǎn)MOSFET。那么半導(dǎo)體工廠是如何采用曝光(Exposure)、蝕刻(Etching)、沉積(Deposition)等半導(dǎo)體領(lǐng)域最常見的工藝來制作“廉價(jià)”的MOSFET的呢?讓我們來一探究竟吧!

二、半導(dǎo)體制程工藝概覽與氧化

- 半導(dǎo)體前端工藝 -

01半導(dǎo)體制程工藝概覽

在第一篇的最后,我們說到金屬氧化物半導(dǎo)體場效應(yīng)晶體管(MOSFET)的平面式結(jié)構(gòu)讓人們可以在晶圓上同時(shí)制造出好幾個(gè)MOSFET。且與第一代晶體管BJT1不同,MOSFET無需焊接過程。本期內(nèi)容就讓我們來詳細(xì)了解一下具體的制程工藝。

為方便講解,我們先來看一下普通電子零件是怎么制成的。只要拆解身邊的任何一件電子產(chǎn)品,我們便不難發(fā)現(xiàn):其基本結(jié)構(gòu)都是把晶體管、干電池、蓄電池和電感線圈等各種單位電子元器件固定在PCB2上,制程工藝可簡單概括為“電子元器件的制造 → 電子元器件的固定”。

1 BJT :雙極結(jié)型晶體管(Bipolar Junction Transistor),即通過一定的工藝將半導(dǎo)體內(nèi)的P型半導(dǎo)體和N型半導(dǎo)體結(jié)合在一起(PN結(jié)合)制成的晶體管。

2 PCB :印刷電路板(Printed Circuit Board),大部分電子產(chǎn)品采用的一種半導(dǎo)體基板,將電路布置在一個(gè)基板上,在其表面上焊接各種電子零件。

同樣,在晶圓上制作 MOSFET時(shí)也采用這種順序。晶圓加工的第一道工藝就是“制造”各種電子元器件。說是“制造”,其實(shí)就是通過在晶圓上的各種處理,繪制所需的電子元器件。這一過程我們稱之為晶圓加工的前端工藝(FEOL,F(xiàn)ront End Of the Line)。隨后,我們需要“固定”這些電子元器件。當(dāng)然,對于這么小的電子元器件,無法使用直接焊接的方式,而是需要采用與FEOL相似的技術(shù),通過金屬布線在多達(dá)數(shù)十億個(gè)電子元器件之間形成連接。這一過程我們稱之為晶圓加工的后端工藝(BEOL,Back End Of the Line)。FEOL與BEOL加起來,統(tǒng)稱為半導(dǎo)體制造的“前端工藝”。

b1a4d0e0-a210-11ee-8b88-92fbcf53809c.png

▲ 圖2:實(shí)際工藝順序;在FEOL階段制作MOSFET,然后再以金屬布線代替焊接過程,連接FEOL的各種電子元器件。(摘自:查看原文-https://commons.wikimedia.org/wiki/File:Cmos-chip_structure_in_2000s_(en).svg-)

接下來我們要逐一講解的氧化、光刻、刻蝕等都是FEOL和BEOL中的工藝,各工藝的目的不同,使用特定設(shè)備的頻率和次數(shù)也各不相同,但根本目的都是為了繪制繁多而精細(xì)的電路。

b1ac8eca-a210-11ee-8b88-92fbcf53809c.png

▲ 圖3: 半導(dǎo)體制程工藝概覽及其相關(guān)制造公司

一般來說,我們所知道的半導(dǎo)體制造的八大工藝分別為:晶圓制造、氧化、光刻、刻蝕、沉積、金屬布線、測試和封裝。但這八大工藝不能一概而論,如上圖所示,嚴(yán)格來說,其實(shí)晶圓制造并不是在半導(dǎo)體制造工廠內(nèi)完成的。此外,金屬布線、封裝和測試,與光刻、刻蝕、沉積等只有單一步驟的工藝不同,是對某個(gè)有特定目的的作業(yè)流程的統(tǒng)稱。

02玻璃膜覆蓋:氧化

從圖2中可以看出,半導(dǎo)體的制程工藝是從下至上的。這一過程并非像堆積木一樣簡單地把均勻的物質(zhì)堆積起來就可以。為了把形狀各異的物質(zhì)在半導(dǎo)體內(nèi)變成均勻的物質(zhì),需要經(jīng)過多道處理工藝,如不需要的部分就要削減掉,需要的部分還要裹上特定物質(zhì)等。在這一過程中,還會使用各種反應(yīng)性很強(qiáng)的化學(xué)物質(zhì),如果化學(xué)物質(zhì)接觸到不應(yīng)接觸的部分,就會影響到半導(dǎo)體制造的順利進(jìn)行。而且,半導(dǎo)體內(nèi)還有一些物質(zhì),一旦相互接觸就會產(chǎn)生短路。氧化工藝的目的,就是通過生成隔離膜防止短路的發(fā)生。

氧化工藝就是在硅晶圓上生成一層保護(hù)膜。硅(Si)和氧氣反應(yīng)就會形成玻璃(SiO?)。在我們的日常生活中也能體會到玻璃具有較高的化學(xué)穩(wěn)定性,常用作各種飲料甚至鹽酸、硫酸等各種化學(xué)藥品的容器。在半導(dǎo)體制作過程中,通過氧化工藝形成的氧化膜也同樣具有穩(wěn)定性。它可以防止其他物質(zhì)的穿透,因此在離子注入1工藝中非常實(shí)用。

氧化膜還可以用于阻止電路間電流的流動(dòng)。MOSFET結(jié)構(gòu)的核心就是柵極(Gate)。MOSFET與BJT晶體管不同,柵極不與電流溝道(S與D的中間部分)直接接觸,只是“間接”發(fā)揮作用。這也是MOSFET不運(yùn)作時(shí),電力消耗小的原因。MOSFET通過氧化膜隔絕柵極與電流溝道,這種氧化膜被稱為柵氧化層(Gate Oxide)。隨著最近推出的先進(jìn)半導(dǎo)體產(chǎn)品體積逐漸變小,它們也會采用 HKMG2等各種柵極絕緣層來取代氧化膜。

1離子注入(Ion Implant):在半導(dǎo)體制造過程中,為把純凈的晶圓變成半導(dǎo)體狀態(tài),將三族或五族元素以一定的方式摻入到半導(dǎo)體基片規(guī)定的區(qū)域內(nèi)。

2 HKMG(High-K Metal Gate): 可有效減少電流泄露的新一代MOSFET柵極;是一種以金屬代替?zhèn)鹘y(tǒng)的多晶矽(Polysilicon) 柵極,以高介電(High-K)取代氧化硅絕緣膜的晶體管。

b1b07972-a210-11ee-8b88-92fbcf53809c.png

▲ 圖4: 柵極(G)與電流溝道(S-D中間)的隔絕物質(zhì)(紅框部分)。過去使用二氧化硅(SiO?)作為絕緣膜。(摘自:查看原文-

https://commons.wikimedia.org/wiki/File:MOSFET_Structure.png)

可用作保護(hù)膜的并非只有二氧化硅(SiO?)一種物質(zhì)。我們還可通過沉積方式覆蓋保護(hù)膜,或者使用部分已形成的電路作為保護(hù)。

氧化工藝使用的是晶圓的組成物質(zhì),即通過氧化晶圓的大量硅原子形成保護(hù)膜。需要提前說明的是,這一點(diǎn)與后面要說到的“沉積”工藝有所不同。

03氧化工藝的種類

氧化工藝可分為干法氧化(Dry Oxidation)、濕法氧化(Wet Oxidation)和自由基氧化(Radical Oxidation)三大類。

b1babeb4-a210-11ee-8b88-92fbcf53809c.png

▲ 氧化的種類(摘自:(株)圖書出版HANOL出版社[半導(dǎo)體制造技術(shù)的理解143p])

濕法氧化采用晶圓與高溫水蒸氣(水)反應(yīng)的方式生成氧化膜,化學(xué)方程式如下。

Si (固體)+ 2H?O (氣體) →SiO? (固體) + 2H? (氣體)

這一化學(xué)方程式可以簡單理解為用高溫水讓晶圓表面生銹。濕法氧化,雖然氧化膜生長速度快, 但其氧化層整體的均勻度和密度較低。而且,反應(yīng)過程中還會產(chǎn)生氫氣等副產(chǎn)物。由于濕法氧化過程的特性難以控制,在對半導(dǎo)體性能而言至關(guān)重要的核心領(lǐng)域中無法使用該方法。

干法氧化則采用高溫純氧與晶圓直接反應(yīng)的方式。氧分子比水分子重(32 vs 18)*,滲入晶圓內(nèi)部的速度相對較慢。因此,相比濕法氧化,干法氧化的氧化膜生長速度更慢。但干法氧化的優(yōu)點(diǎn)在于不會產(chǎn)生副產(chǎn)物(H?),且氧化膜的均勻度和密度均較高。正是考慮到這種優(yōu)點(diǎn),我們在生成對半導(dǎo)體性能影響重大的柵極氧化膜時(shí),會選用干法氧化的方式。

自由基氧化與前兩種不同:濕法與干法氧化都是通過提高自然氣體的溫度來提升其能量,從而促使氣體與晶圓表面發(fā)生反應(yīng)。自由基氧化則多一道工藝,即在高溫條件下把氧原子和氫分子混合在一起,形成化學(xué)反應(yīng)活性極強(qiáng)的自由基氣體,再使自由基氣體與晶圓進(jìn)行反應(yīng)。由于自由基的化學(xué)活性極強(qiáng),自由基氧化不完全反應(yīng)的可能性極小。因此,相比干法氧化,該方法可以形成更好的氧化膜。

*假設(shè)氫(H)原子的重量為1,氧(O)原子的重量為16,氧(O2)分子的重量就是32, 水(H2O)分子的重量就是18,因此,氧分子比水分子更重。

b1c2a9d0-a210-11ee-8b88-92fbcf53809c.png

▲ 自由基氧化的特點(diǎn) [摘自: (株)圖書出版HANOL出版社《半導(dǎo)體制造技術(shù)的理解149p》]

此外,自由基氧化還可以生成在立體結(jié)構(gòu)上厚度均勻的氧化膜。半導(dǎo)體公司使用的都是單結(jié)晶體晶圓,結(jié)晶方向相同。

上圖中的數(shù)字100和110表示硅的結(jié)晶方向,下方的兩幅圖是硅原子的解析圖。從圖中可以看出,采用濕法和干法氧化時(shí),晶圓上側(cè)(100)方向的氧化膜生長速度相對較慢,而側(cè)面(110)方向的氧化速度較快。由于100方向的硅原子排列更稠密,干法或濕法氧化時(shí),氧化氣體很難穿透結(jié)晶與硅發(fā)生反應(yīng),而自由基氧化在這方面則相對容易。

b1cecaf8-a210-11ee-8b88-92fbcf53809c.png

▲ 圖5:密勒指數(shù)(Miller indices)描述的硅原子排列

此外,采用自由基氧化可以在很難形成氧化膜的圓化頂角上形成均勻的氧化膜,在反應(yīng)活性較弱的氮化硅(Si?N?)*中也能“奪取”硅原子,發(fā)生氧化反應(yīng)。

隨著半導(dǎo)體微細(xì)化難度的增加,半導(dǎo)體公司紛紛開始在半導(dǎo)體內(nèi)引進(jìn)三維式結(jié)構(gòu)。因此,能否生成厚度均勻的高品質(zhì)保護(hù)膜變得越來越關(guān)鍵,氧化工藝也更加重要。

*氮化硅(Si?N?):氮化硅是保護(hù)膜的一種,在半導(dǎo)體電子元器件的制造過程中以沉積方式覆蓋在電子表面。

04氧化設(shè)備的簡化結(jié)構(gòu)圖

圖6是氧化設(shè)備的簡化結(jié)構(gòu)圖,實(shí)際的氧化設(shè)備要比本圖復(fù)雜得多。

b1d2a77c-a210-11ee-8b88-92fbcf53809c.png

▲ 圖6:晶圓氧化設(shè)備的結(jié)構(gòu)

通過氣體注入口進(jìn)入氧化設(shè)備的反應(yīng)氣體,在被加熱后,與晶圓發(fā)生氧化反應(yīng)。為了減少正面接觸氣體的部分與稍后接觸氣體的部分間的氧化程度差異,晶圓中摻雜著假片(Dummy Wafer),以利用它們作為犧牲晶片來調(diào)整氣體的均勻度。從圖6中也可以看出,氧化工藝是把數(shù)十張晶圓同時(shí)放入進(jìn)行氧化,可見氧化速度是非常之快的。

本期內(nèi)容我們主要講了前端工藝的概覽和氧化工藝。為幫助大家理解,我們主要采用了大家非常熟悉的八大工藝的結(jié)構(gòu)。但實(shí)際上,這些過程也屬于半導(dǎo)體擴(kuò)散(Diffusion)工藝領(lǐng)域,如果按溫度劃分,還可歸類為高溫工藝。

三、光刻——半導(dǎo)體電路的繪制

01繪制精細(xì)電路的第一步

金屬-氧化物半導(dǎo)體場效應(yīng)晶體管(MOSFET)的革命,讓我們可以在相同面積的晶圓上同時(shí)制造出更多晶體管。MOSFET體積越小,單個(gè) MOSFET的耗電量就越少,還可以制造出更多的晶體管,讓其發(fā)揮作用,可謂是一舉多得??梢?,制造更小的MOSFET成了關(guān)鍵因素,并且想制成微細(xì)的電路,第一步就是“繪制”。

我們以餅干烘培做比喻來說明一下。假設(shè)想在面餅上壓出數(shù)百個(gè)“幸福之翼”形狀的餅干,一個(gè)一個(gè)做顯然是很費(fèi)力的,那要采用什么樣的方法呢?

最好的辦法就是利用模具,先把面餅搟平搟寬烘培后,用餅干模具(印章)壓出想要的形狀來。這樣一來,一次壓出100個(gè)餅干也不會太吃力。

再想一想,如果想把做好的餅干賣給孩子們,就得把餅干做得更小,那要怎么辦?當(dāng)然,餅干模具就要變得更小。本篇文章的主角就是相當(dāng)于“餅干模具”的“光刻機(jī)”。半導(dǎo)體制造與餅干烘培的最大區(qū)別在于,MOSFET越小,在相同面積的晶圓上,就可以制造出越多的MOSFET,這也就越受客戶的青睞。兩個(gè)小的MOSFET遠(yuǎn)比一個(gè)大的MOSFET更實(shí)用。

半導(dǎo)體的制造其實(shí)就是不斷重復(fù)上述工藝。繼續(xù)以做餅干為例,如果糕點(diǎn)師想給“幸福之翼”餅干上色,要怎么辦?

b1de9000-a210-11ee-8b88-92fbcf53809c.png

▲ 圖2: 給“幸福之翼”餅干上色的順序

b1efe594-a210-11ee-8b88-92fbcf53809c.png

▲ 圖3: 如果能成批向數(shù)十個(gè)餅干噴涂色素,速度就會更快。

圖2和3揭示了快速做出更多餅干的方法:先在面餅上壓出許多造型相同的餅干,然后遮蓋不想上色的部位,再向整個(gè)面餅噴涂色素。這樣就可以輕松快速地做出特定造型和顏色的餅干了。說到這里,也許善于思考的讀者就要發(fā)問:這么多的雙翼內(nèi)側(cè)黑色遮蓋物(見圖3),要怎么制作?下面我們會說到這一點(diǎn),這其實(shí)就是光刻工藝的核心。

餅干只有面餅和色素(紅色、橘黃色)兩層,但半導(dǎo)體結(jié)構(gòu)卻復(fù)雜得多,由數(shù)十層堆疊組成:包括電子元件層還有層層堆疊的金屬布線層等。這也是我們說光刻是半導(dǎo)體制程關(guān)鍵工藝的原因。

02模具的制作過程:光刻工藝

半導(dǎo)體制造商把上面我們所說的制作餅干模具(遮蓋物)的過程叫做光刻工藝。光刻工藝的第一步就是涂覆光刻膠(Photoresist)。光刻膠經(jīng)曝光后化學(xué)性質(zhì)會發(fā)生變化。具體而言,就是在晶圓上涂覆光刻膠后,用光(激光)照射晶圓,使光刻膠的指定部分的性質(zhì)發(fā)生改變。

b1fc58a6-a210-11ee-8b88-92fbcf53809c.png

▲ 圖4: 光刻工藝基本步驟

如果直接用激光照射整個(gè)晶圓,那么光刻膠的所有部分都會發(fā)生質(zhì)變,所以需要使光源通過特定形狀的母版,再照射到晶圓上,這個(gè)母版就叫掩模版(Photomask)。光源通過掩模版照射到晶圓上,即可將掩模版的圖案轉(zhuǎn)印到晶圓上。

在晶圓上繪制圖形后,還要經(jīng)顯影(Develop)處理,即在曝光后,除去曝光區(qū)光刻膠化學(xué)性質(zhì)發(fā)生變化的部分,從而制作出所需的“餅干模具”。簡言之,光刻工藝可以概括為使光源通過掩模版照射到涂敷光刻膠的晶圓表面,以將掩模版圖形轉(zhuǎn)印到晶圓上的工藝。

03光刻膠(Photoresist)

如上所述,光刻膠經(jīng)曝光后,其化學(xué)性質(zhì)會發(fā)生改變。更準(zhǔn)確地說,經(jīng)曝光后,光刻膠在顯影液中的溶解度發(fā)生了變化:曝光后溶解度上升的物質(zhì)稱作正性光刻膠(正膠),反之則為負(fù)性光刻膠(負(fù)膠)。為了更好區(qū)分,我們可以把最直觀可見的物質(zhì)理解為正膠。正膠經(jīng)顯影處理后,被曝光的區(qū)域溶于顯影液,在后續(xù)的刻蝕、沉積等工藝中,質(zhì)變的部分會被刻蝕去除掉,而沒有被曝光部分不會受后續(xù)工藝的影響。

半導(dǎo)體制造商一般會根據(jù)工藝的目的選擇合適的光刻膠。例如,負(fù)膠經(jīng)曝光而固化的部分,在顯影過程中,因吸收部分顯影液而容易膨脹、變形,不適合繪制精細(xì)圖形。因此,繪制精細(xì)圖形通常采用正膠。但負(fù)膠卻具有成本低以及在刻蝕(Etching)工藝中抗刻蝕能力更強(qiáng)的的優(yōu)點(diǎn)。

b20ec496-a210-11ee-8b88-92fbcf53809c.png

▲ 圖5: 正性光刻膠(正膠)與負(fù)性光刻膠(負(fù)膠)。

選好光刻膠后,就得用涂布機(jī)(Coater)涂抹光刻膠。通過涂布機(jī)的高速旋轉(zhuǎn),滴落到晶圓的光刻膠可均勻伸展到整個(gè)晶圓表面。

光刻膠涂好后,應(yīng)去除沾染在晶圓背面或邊緣的多余膠水,再放入烘箱內(nèi)加熱烘烤,使溶劑蒸發(fā),為下一道工藝做準(zhǔn)備。

隨著時(shí)代的發(fā)展,光刻膠的結(jié)構(gòu)也變得越來越復(fù)雜。我們通常說“涂覆光刻膠”,但其實(shí),大部分的光刻膠并不是一層,而是多層結(jié)構(gòu)。底部抗反射涂層(BARC,Bottom Anti-reflective Coatings)就是其中的一種。隨著微細(xì)化技術(shù)的進(jìn)一步升級,光刻機(jī)照射的光在晶圓表面被反射,進(jìn)而影響到圖形的繪制。為解決這一技術(shù)問題,在涂覆光刻膠前,可先將抗反射涂層涂覆在晶圓表面,以減少底部光的反射(因涂覆在光刻膠的底部,故稱為Bottom)。此外,隨著以水為介質(zhì)的浸沒式光刻設(shè)備ArF Immersion1問世,可以抖出水分并且不會損傷的防水涂層(頂部抗反射涂層,Top Anti-Reflective Coat)便應(yīng)運(yùn)而生。

在此我們要把重點(diǎn)放在理解如何克服引進(jìn)新技術(shù)后的新挑戰(zhàn)。以EUV光刻機(jī)2為例,高能量的極紫外線擊中光刻膠并發(fā)生反應(yīng)后會污染掩模版。為解決這一技術(shù)難題,一方面應(yīng)深入研究光刻膠材料,另一方面要通過引進(jìn)掩模版保護(hù)膜(Pellicle)解決這一問題。

1ArF浸沒式光刻機(jī)(ArF immersion):以水取代光刻機(jī)內(nèi)光的介質(zhì)(空氣),從而進(jìn)一步改善性能
2EUV光刻機(jī):采用極紫外線繪制超精細(xì)圖形的光刻機(jī)

04掩模版(Photomask)

b21c6eac-a210-11ee-8b88-92fbcf53809c.png

▲ 圖6: 光刻機(jī)運(yùn)作圖示

涂覆好光刻膠后,下一步就是在光刻膠上繪制圖形。為此,需要一種名為掩模版的透明版。掩模版分為光可通過的透明區(qū)和遮光的不透明區(qū)。光源通過掩模版把圖形投射到光刻膠上,從而將掩模圖形轉(zhuǎn)印到晶體上。設(shè)計(jì)掩模圖形時(shí)會考慮光的干涉效果,因此,掩模版的圖形與我們實(shí)際想繪制的圖形會有所不同。

掩模版的圖形設(shè)計(jì)其實(shí)就是半導(dǎo)體設(shè)計(jì),這決定了半導(dǎo)體的用途。比如,用于DRAM、NAND閃存等存儲器制造的掩模版會有很多肉眼看不到、非常有規(guī)律的重復(fù)的圖形;而用于CPU、GPU等邏輯半導(dǎo)體(Logic Semiconductor)的掩模版,結(jié)構(gòu)則相當(dāng)復(fù)雜。

此外,半導(dǎo)體制造需要多個(gè)掩模版。使用掩模版曝光后,在隨后的刻蝕、沉積和氧化工藝中再經(jīng)多種處理,然后再重復(fù)上述過程,堆疊半導(dǎo)體的下一層??梢?,所謂“設(shè)計(jì)”,其實(shí)就是為賦予芯片一定功能,不斷制作用于繪制半導(dǎo)體各層的掩模版的過程。

掩模版是事先預(yù)備好的。因此,下一步就是找準(zhǔn)曝光的起始位置,即對準(zhǔn)(Alignment)。在之前的文章中我們也說過,在半導(dǎo)體制程工序中,光刻工藝可能需要反復(fù)數(shù)十次。半導(dǎo)體內(nèi)細(xì)微圖形的間隔僅為數(shù)十納米,因此,誤差一旦累積數(shù)十次,就很可能造成嚴(yán)重不良。因此,需要在曝光之前,尋找在前端工藝已形成的對準(zhǔn)標(biāo)志(Alignment Mark)。

05曝光(Exposure)

終于到了曝光階段,這是實(shí)際投射光源的階段。把光(激光)投射到晶圓一個(gè)芯片大小的狹窄區(qū)域,待曝光一定時(shí)間后,光刻機(jī)將向旁邊稍加移動(dòng),重復(fù)上述過程。

光刻機(jī)分辨兩物點(diǎn)的能力叫做“物鏡的分辨能力(鑒別率)”。物鏡分辨能力的公式為d=λ/(2NA) (λ:入射光的波長,NA:表示物鏡的數(shù)值孔徑)。物鏡的分辨能力越高,兩物點(diǎn)間最小距離d越小,即兩物體仿佛重合為一個(gè)物體,很難分辨。因此,掩模版繪制再精細(xì)的版圖也無法轉(zhuǎn)印到實(shí)際的晶圓表面上。

可見,降低分辨能力非常重要。上述公式給我們揭示了兩種方法:一是通過調(diào)節(jié)入射光的波長來克服。增加激光的能量可縮短入射光的波長。我們經(jīng)常在新聞中聽到的極紫外線(EUV,Extreme Ultraviolet Lithography)光刻機(jī)正是通過將深紫外線(DUV,Deep Ultraviolet Lithography)光刻機(jī)的波長縮短至1/14(=提高光能),實(shí)現(xiàn)精細(xì)圖形繪制的;另一方面,還可通過提高物鏡的數(shù)值孔徑(NA)來尋找突破口。提高光源鏡頭數(shù)值孔徑,或使用高折射率的介質(zhì)增加物鏡的數(shù)值孔徑。高數(shù)值孔徑極紫外線(High NA EUV)光刻機(jī)就是采用了提高光源鏡頭數(shù)值孔徑的方法,而常用的深紫外線光刻機(jī)(ArF immersion)則采用了高折射率介質(zhì)的方法。

物鏡的數(shù)值孔徑其實(shí)很難直觀去理解,<圖7>揭示了一種相對較通俗的理解方法。相信讀者可以從中理解光源鏡頭變大,分辨率就會提高(變?。┑脑怼?/p>

b22dbcb6-a210-11ee-8b88-92fbcf53809c.png

▲ 圖7: 物鏡的數(shù)值孔徑與物鏡的分辨能力

尋找光刻機(jī)的光源可非同小可。直到21世紀(jì)初,科研人員們還在不斷發(fā)現(xiàn)更好的光源。但從找到193nm的氟化氬(ArF)激光,到發(fā)現(xiàn)13.5nm的極紫外線作為光源,科學(xué)家們足足花了10多年的時(shí)間。這主要緣于光的性質(zhì),光的波長越短,越不容易發(fā)生折射,且容易被材料吸收。

此外,曝光對半導(dǎo)體的生產(chǎn)量也非常重要。從上述講解中可以看出,曝光與氧化工藝不同,無法同時(shí)處理數(shù)十個(gè)晶圓,即無法打造可以一次處理直徑為300mm的晶圓的均勻光源,光刻機(jī)每次只能曝光1~4個(gè)芯片。最新版光刻機(jī)每臺約1000億韓元以上,相當(dāng)昂貴,但每小時(shí)也只能處理100張左右的晶圓。投入到曝光工藝的資金就是氧化工藝的12倍*。對于極紫外線來說,與其說“是否能作為光源”重要,不如說“是否能提高處理量,實(shí)現(xiàn)商業(yè)價(jià)值”更加重要。為解決這一問題,不僅要從光源入手,還要從材料方面入手,尋找對少量光也能敏感反應(yīng)的光刻膠材料。

曝光結(jié)束后,就要檢測晶圓的套刻(Overlay)誤差。套刻,是為測量光刻機(jī)的對準(zhǔn)精度而在晶圓上做的小標(biāo)識。每次曝光時(shí)圍繞同一個(gè)中心,以不同大小的標(biāo)記套刻標(biāo)識,就可測量曝光的對準(zhǔn)程度或晶圓是否有所偏離等。但套刻工藝與對準(zhǔn)(Alignment)工藝不同,不會檢測每一個(gè)晶圓的套刻精度。

06顯影(Develop)

光刻膠曝光后,曝光區(qū)光刻膠的化學(xué)性質(zhì)會發(fā)生改變。這些變質(zhì)的光刻膠要用顯影液溶解后去除,這一工藝被稱作顯影(Develop)。

當(dāng)然,在進(jìn)入顯影工藝前,要把晶圓放入烘箱烘烤,這樣可以進(jìn)一步促進(jìn)曝光區(qū)光刻膠的性質(zhì)變化,這一過程被稱作曝光后烘烤(PEB,Post Exposure Bake)。

經(jīng)PEB后,在晶片涂覆顯影液,去除變質(zhì)的光刻膠部分,必要時(shí)還可進(jìn)行清洗(Rinse)。清洗時(shí),要根據(jù)光刻膠的材料選擇合適的清洗溶液。而清洗設(shè)備也是種類繁多,且往往要在處理速度和良率之間做權(quán)衡。

經(jīng)上述一系列過程,半導(dǎo)體的“餅干模具”終于制成了。最后,在這“模具”的縫隙涂覆所需的材料,或削減不需要的部分等,經(jīng)一番完善工作后在表面雕刻晶體管和金屬布線即可。

07光刻機(jī)的發(fā)展與縱向思考

從上述對光刻工藝的講解中,相信讀者已經(jīng)明白以死記硬背的方式去學(xué)習(xí)一門技術(shù)有多么地徒勞。在193nm的氟化氬(ArF)激光光源遇到瓶頸時(shí),科學(xué)家們還沒有發(fā)現(xiàn)EUV,但微細(xì)化的腳步又不能停止。所以,研究人員們就試圖縮短相同光源的波長,進(jìn)而研發(fā)出了氟化氬浸沒式光刻機(jī),從而使半導(dǎo)體行業(yè)向100nm以下級別邁出了一步。當(dāng)然,這不是僅通過光刻工藝就可以解決的,還需要前后端工藝的共同努力。

b2321a54-a210-11ee-8b88-92fbcf53809c.png

▲圖8: 為研發(fā)ArF浸沒式光刻機(jī)所引進(jìn)的新技術(shù)

使用浸沒式光刻設(shè)備,就要在晶圓上滴落高折射率的液體(水)。問題是半導(dǎo)體工藝非常精細(xì),小小的誤差也會“釀成大錯(cuò)”,比如,液體的不純物有可能導(dǎo)致半導(dǎo)體產(chǎn)品的瑕疵,或光刻膠被水溶解后被清洗掉等。為攻克這些技術(shù)難關(guān),人們進(jìn)一步研發(fā)了可以制成高純度水的技術(shù)以及在光刻膠上形成易去除的防水涂層的技術(shù)。在光刻膠上新涂覆了一層防水層后,顯影工藝當(dāng)然也要相應(yīng)做出改變。

這些改變,需要由半導(dǎo)體行業(yè)持續(xù)努力解決。

我們在前一篇(氧化工藝)中也曾說到,干法工藝,顧名思義就是沒有水的介入。也就是說,這是一種與之前完全不同的嶄新工藝技術(shù)。它像沉積工藝那樣在光刻膠表面上形成薄膜,在顯影過程中也不清洗。需研發(fā)這些技術(shù)的理由不勝枚舉,但最重要的,就是微細(xì)化水平已經(jīng)達(dá)到了極致,光刻機(jī)繪制出的精細(xì)圖形,在涂覆和清洗光刻膠的過程中會被破壞。

08結(jié)語 :成功繪制不等于結(jié)束

在本篇文章中,我們快速瀏覽了光刻工藝,通過該工藝,圖形的繪制已經(jīng)完成。下一步就需要在繪制的圖形上添加點(diǎn)什么或削減不需要的部分。雖說光刻工藝很重要,但也不能忽視其他工藝。因?yàn)橹谱魑⒓?xì)模具(光刻工藝)和利用這個(gè)模具完成所需的操作可是完全不同的問題。

四、刻蝕——有選擇性地刻蝕材料,以創(chuàng)建所需圖形

01光“堆疊”可不行

半導(dǎo)體前端工藝第三篇中,我們了解了如何制作“餅干模具”。本期,我們就來講講如何采用這個(gè)“餅干模具”印出我們想要的“餅干”。這一步驟的重點(diǎn),在于如何移除不需要的材料,即“刻蝕(Etching)工藝”。

b2421314-a210-11ee-8b88-92fbcf53809c.png

▲ 圖1: 移除餅干中間部分,再倒入巧克力糖漿

讓我們再來回想一下上一篇內(nèi)容中制作餅干的過程。如果想在“幸福之翼”造型餅干中加一層巧克力夾心,要怎么做呢?最簡單的方法就是把餅干中間部分挖出來,再倒入巧克力糖漿。挖出餅干的這一過程,在半導(dǎo)體制程中就叫做“刻蝕”,即在“幸福之翼”餅干上疊加中間被挖空的黃色模具(光刻膠),再噴灑只與餅干裸露部分產(chǎn)生反應(yīng)的溶液,使其未受模具保護(hù)的部分被溶解腐蝕。隨后便應(yīng)移去模具,倒入巧克力糖漿。最后,清理殘余的巧克力糖漿,再蓋上一層餅干層,巧克力夾心餅干就制成了。

在半導(dǎo)體制程工藝中,有很多不同名稱的用于移除多余材料的工藝,如“清洗”、“刻蝕”等。如果說“清洗”工藝是把整張晶圓上多余的不純物去除掉,“刻蝕”工藝則是在光刻膠的幫助下有選擇性地移除不需要的材料,從而創(chuàng)建所需的微細(xì)圖案。半導(dǎo)體“刻蝕”工藝所采用的氣體和設(shè)備,在其他類似工藝中也很常見。

02刻蝕工藝的特性

“刻蝕”工藝具有很多重要的特性。所以,在了解具體工藝之前,有必要先梳理一下刻蝕工藝的重要術(shù)語,請見下圖:

b245ba96-a210-11ee-8b88-92fbcf53809c.png

▲ 圖2: 等向性刻蝕與非等向性刻蝕的特點(diǎn)

第一個(gè)關(guān)鍵術(shù)語就是“選擇比”,該參數(shù)用于衡量是否只刻蝕了想刻蝕的部分。在反應(yīng)過程中,一部分光刻膠也會被刻蝕,因此在實(shí)際的刻蝕工藝中,不可能100%只刻蝕到想移除的部分。一個(gè)高選擇比的刻蝕工藝,便是只刻蝕了該刻去的部分,并盡可能少地刻蝕到不應(yīng)該刻蝕材料的工藝。

第二個(gè)關(guān)鍵詞,就是“方向的選擇性”。顧名思義,方向的選擇性是指刻蝕的方向。該性質(zhì)可分為等向性(Isotropic)非等向性(Anisotropic)刻蝕兩種:等向性刻蝕沒有方向選擇性, 除縱向反應(yīng)外,橫向反應(yīng)亦同時(shí)發(fā)生;非等向性刻蝕則是借助具有方向性的離子撞擊來進(jìn)行特定方向的刻蝕,形成垂直的輪廓。試想一個(gè)包裹糖果的包裝袋漏了一道口子,如果把整塊糖連包裝袋一起放入水中,一段時(shí)間后,糖果就會被溶解。可如果只向破口處照射激光,糖果就會被燒穿,形成一個(gè)洞,而不是整塊糖果被燒沒。前一現(xiàn)象就好比等向性刻蝕,而后一現(xiàn)象就如同非等向性刻蝕。

第三個(gè)關(guān)鍵詞,就是表明刻蝕快慢的“刻蝕速率(Etching Rate)”。如果其他參數(shù)不變,當(dāng)然速率越快越好,但一般沒有又快又準(zhǔn)的完美選擇。在工藝研發(fā)過程中,往往需要在準(zhǔn)確度等參數(shù)與速率間權(quán)衡。比如,為提高刻蝕的非等向性,需降低刻蝕氣體的壓力,但降壓就意味著能夠參與反應(yīng)的氣體量變少,這自然就會帶來刻蝕速率的放緩。

最后一個(gè)關(guān)鍵詞就是“均勻性”。均勻性是衡量刻蝕工藝在整片晶圓上刻蝕能力的參數(shù),反映刻蝕的不均勻程度??涛g與曝光不同,它需要將整張晶圓裸露在刻蝕氣體中。該工藝在施加反應(yīng)氣體后去除副產(chǎn)物,需不斷循環(huán)物質(zhì),因此很難做到整張晶圓的每個(gè)角落都是一模一樣。這就使晶圓不同部位出現(xiàn)了不同的刻蝕速率。

03刻蝕的種類:濕刻蝕(Wet Etching)

與干刻蝕(Dry Etching)

刻蝕也像氧化工藝一樣,分為濕刻蝕(Wet Etching)干刻蝕(Dry Etching)。還記得上一篇我們說到,取名“濕法”氧化的原因是因?yàn)椴捎昧怂魵馀c晶圓反應(yīng),而刻蝕中的“濕”則意味著將晶圓“浸入液體后撈出”。濕刻蝕的優(yōu)點(diǎn)是刻蝕速率相當(dāng)快,且只采用化學(xué)方法,所以“選擇比”較高。但其問題是只能進(jìn)行等向性(Isotropic)刻蝕。如果把晶圓浸入液體中,液體就會自由流動(dòng)與材料發(fā)生反應(yīng),光刻膠背面的受保護(hù)部分也會與液體發(fā)生反應(yīng),被快速溶解腐蝕,準(zhǔn)確度較差。而且,如果光刻膠破口很小,液體刻蝕劑將受自身表面張力影響,無法穿過破口。用光刻機(jī)繪制了微細(xì)的圖形后,若不能照圖形制成電路,也只是徒勞。因此,如今在制作半導(dǎo)體核心層時(shí),一般不采用濕刻蝕的方法。

b24ef7fa-a210-11ee-8b88-92fbcf53809c.png

▲ 圖3:在光刻膠破口內(nèi)自由流動(dòng)的液體刻蝕劑

干刻蝕則泛指采用氣體進(jìn)行刻蝕的所有工藝,即在晶圓上疊加光刻膠“模具”后,將其裸露于刻蝕氣體中的工藝。干刻蝕可分為等離子刻蝕、濺射刻蝕和反應(yīng)性離子刻蝕(RIE, Reactive Ion Etching)。與濕刻蝕不同,這些干刻蝕工藝采用各種不同的方式來刻蝕材料,所以,可以一目了然地說明非等向性和等向性刻蝕的特點(diǎn)。例如,采用化學(xué)反應(yīng)的干刻蝕為等向性刻蝕,采用物理反應(yīng)的刻蝕則為非等向性刻蝕。最近,隨著RIE(非等向性高、刻蝕速率高的一種干刻蝕方法)成為主流,干刻蝕具有非等向性的認(rèn)識已成了一種共識(RIE的具體工藝請見下面的詳述內(nèi)容)。

04刻蝕的種類:按去除材料的方法劃分

去除晶圓上材料的方法大致可分為化學(xué)方法和物理方法兩種:

化學(xué)方法就是采用與指定材料易反應(yīng)的物質(zhì)進(jìn)行化學(xué)反應(yīng)。光刻膠下面有許多要去除的物質(zhì),如在氧化工藝中生成的氧化膜或在沉積工藝中涂敷的一些其他物質(zhì)等?;瘜W(xué)方法就是采用易與想去除的材料產(chǎn)生反應(yīng),卻不與光刻膠發(fā)生反應(yīng)的物質(zhì),有針對性地去除材料。當(dāng)然,根據(jù)要去除的材料,所使用的刻蝕劑(氣體或液體)也不同。常用刻蝕劑有以氟或氯為基礎(chǔ)的化合物等?;瘜W(xué)方法的優(yōu)點(diǎn)是“高選擇比”,可以只去除想去除的材料。

物理方法是借助具有高能量的離子撞擊晶圓表面,以去除材料,這種方法叫濺射刻蝕(Sputtering)。該方法先把氣體(主要使用惰性氣體)氣壓降低,再賦予高能量,使氣體分解為原子(+)與電子(-)。此時(shí),朝晶圓方向施加電場,原子就會在電場作用下加速與晶圓發(fā)生沖撞。

這種方法的原理很簡單,但在實(shí)際工藝中,僅憑這一原理很難達(dá)成目的。低氣壓意味著參加反應(yīng)的氣體量少,刻蝕速率當(dāng)然就會慢下來。而且,采用物理方法時(shí),會移除較大面積的本不該去除的材料。物理方法采用強(qiáng)行用力刻出材料的方法,發(fā)生沖撞時(shí)不會區(qū)分“應(yīng)該”還是“不應(yīng)該”去除的材料。(在后續(xù)介紹沉積工藝的沉積氣體時(shí)也會說到濺射方法,大家不妨記住,有助于下文的理解。)

因此,在實(shí)際的刻蝕工藝中,我們主要采用將化學(xué)和物理方法相結(jié)合的反應(yīng)性離子刻蝕(RIE, Reactive Ion Etching)。RIE屬于干刻蝕的一種,它將刻蝕氣體變成等離子,以進(jìn)行刻蝕。具體而言,這種方法在設(shè)備內(nèi)投入混合氣體(反應(yīng)氣體與惰性氣體)后,賦予氣體高能量,使其分解為電子(Electron)、陽離子(Positive Ion)和自由基(Radical)*。質(zhì)量較輕的電子基本上起不了什么作用,而在電場中向陽離子施加沖向晶圓方向的加速度,就會發(fā)生物理刻蝕。陽離子具有正電荷,在電場中加速時(shí)方向性很強(qiáng)。到這里,是不是與物理方法沒什么兩樣呢?

*自由基:指氣體具有不成對電子等高反應(yīng)性的狀態(tài)。

b25defbc-a210-11ee-8b88-92fbcf53809c.png

▲ 圖4: RIE工藝概要

然而,在這一過程中,陽離子還會起到一個(gè)作用:弱化被撞擊材料的化學(xué)鍵。電場使陽離子徑直向前發(fā)射出去,會集中撞到圖4所示的紅色部分。側(cè)壁化學(xué)鍵穩(wěn)固,而正面化學(xué)鍵因撞擊被弱化。隨后接觸具有極高化學(xué)活性的自由基,正面材料便會有更高的刻蝕速率,最終造就非等向性很高的刻蝕。

可見,等離子刻蝕技術(shù)可謂是“一舉三得”:① 生成陽離子,產(chǎn)生物理性刻蝕;② 使被刻蝕材料的化學(xué)鍵變?nèi)?;?還能提高刻蝕氣體的反應(yīng)性。既取了化學(xué)刻蝕之長 —— “高選擇比”,又不失物理刻蝕的優(yōu)點(diǎn) ——“非等向性刻蝕”。

當(dāng)然,即便采用RIE,僅憑刻蝕工藝也很難100%得到所需的圖形。如果要解決其他問題,還需要改變氣體組合、采用硬掩模(Hard Mask)*的其他工藝或新材料的幫助。

*硬掩膜(Hard Mask):為防止因圖形微細(xì)化而造成光刻膠上的圖形被破壞,在其下方額外添加的掩模版

05刻蝕氣體與附加氣體

刻蝕工藝中所使用的氣體非常重要。從上述內(nèi)容中可以看出,刻蝕工藝的核心就是化學(xué)反應(yīng)。所以,我們要根據(jù)想去除的材料,選擇相應(yīng)的刻蝕劑(Etchant)進(jìn)行刻蝕。選擇刻蝕氣體時(shí),要衡量反應(yīng)生成的副產(chǎn)物是否容易被去除、刻蝕選擇比是否夠高和刻蝕速率是否足夠快等因素。經(jīng)常采用的刻蝕氣體有氟(F)、氯(CI)、溴(Br)等鹵族元素化合物。

b273d8c2-a210-11ee-8b88-92fbcf53809c.png

▲ 圖5: 等離子刻蝕氣體的種類(摘自:(株)圖書出版HANOL出版社[半導(dǎo)體制造技術(shù)的理解443p])

在半導(dǎo)體的制程中,晶圓表面會涂敷各種物質(zhì)。因此,從理論上來講,要刻蝕的材料有無數(shù)種。我們主要舉幾個(gè)代表性的例子。比如,硅(Si)系列元素采用氟系氣體可以輕易去除。硅遇氟立即反應(yīng)生成很容易被氣化的氟化硅。SiF4就是氟化硅的一種,在標(biāo)準(zhǔn)大氣壓下,其熔點(diǎn)為-90.3℃。也就是說,反應(yīng)后生成的SiF4將立即氣化成氣體消散,即在晶體表面發(fā)生刻蝕的同時(shí)立刻變成氣體。

常用作絕緣或保護(hù)膜的二氧化硅(SiO2)也很容易被含氟氣體去除。與純硅不同,二氧化硅已經(jīng)是硅元素與氧結(jié)合形成的穩(wěn)定化合物(硅燃燒后的粉塵),所以需要使用發(fā)熱的氣體才能將其去除。氟與碳(C)結(jié)合的氣體便是常用于去除二氧化硅的刻蝕氣體。通過發(fā)熱反應(yīng),該氣體可奪取與氧氣結(jié)合的硅原子。

HKMG*、BEOL*等工藝則需要刻蝕金屬性材料。金屬性材料一般易與鹵族元素(氯、氟等)發(fā)生反應(yīng),但其副產(chǎn)物的熔點(diǎn)非常高,所以很難去除。以銅為例,銅與氣體反應(yīng)產(chǎn)生的副產(chǎn)物熔點(diǎn)在1,000℃以上。也就是說,銅遇到刻蝕氣體后,晶圓表面就會像生了銹一樣,想去除這層“銹”,需要向晶圓施加1,000℃的高溫,但這樣一來其他重要的電子元件就很有可能被燒毀。因此,即便銅具有非常出色的電氣特性,它卻在鋁的電氣特性逼近物理極限時(shí)才被引進(jìn)作為材料。而且,為了克服銅的這種“缺陷”,還需引進(jìn)名為鑲嵌(Damascene)*的新工藝。所以,大家要時(shí)刻記住,重點(diǎn)并不在于新材料本身是否具有良好的物理特性,而是在于與其一同引進(jìn)的新工藝是否與已有工藝相匹配,可以實(shí)現(xiàn)量產(chǎn)。

其實(shí),在實(shí)際工藝中,我們很難根據(jù)要去除的材料挑選出完美的刻蝕氣體。例如,對去除硅奏效的氣體對去除二氧化硅也同樣奏效(反之亦然)。如果硅與二氧化硅同在,但想更多地去除其中一種材料怎么辦?這時(shí),如何制作混合氣體成了關(guān)鍵。例如,調(diào)高氟氣中的碳比例,發(fā)熱反應(yīng)就會更加激烈, SiO2的選擇比自然就會變高。

附加氣體也很重要。我們可以通過在刻蝕氣體添加氧氣(O2)、氮?dú)猓∟2)和氫氣(H2)等各種其他附加氣體,使刻蝕氣體具有某種特性。例如,在去除硅時(shí)附加氫氣,可生成提高非等向性刻蝕的內(nèi)壁。此外,還可添加部分惰性氣體。其中,氖氣(Ne)就是非常典型的惰性氣體之一,它在可調(diào)節(jié)刻蝕氣體濃度的同時(shí),還可提供物理性刻蝕的效果。

*HKMG(High-K Metal Gate): 可有效減少電流泄露的新一代MOSFET柵極;是一種以金屬代替?zhèn)鹘y(tǒng)的多晶硅(Polysilicon)柵極并以高介電(High-K)取代氧化硅絕緣膜的晶體管。

*后端工藝(BEOL,Back End Of the Line):通過細(xì)微的金屬布線在多達(dá)數(shù)十億個(gè)電子元器件之間形成連接的工藝

*鑲嵌(Damascene):為使用銅作為金屬布線材料所需的工藝。該工藝先刻蝕金屬布線的位置,隨后沉積金屬,再通過物理方法去除多余的部分。

06結(jié)論:提高密度的另一個(gè)抓手

一言以蔽之,刻蝕工藝就是結(jié)合物理和化學(xué)方法以形成微細(xì)圖案的半導(dǎo)體制程工藝的核心。刻蝕雖然不能像光刻機(jī)一樣,直接繪制精密的圖形,但可通過調(diào)節(jié)氣體比例、溫度、電場強(qiáng)度和氣壓等各種參數(shù),使晶圓的數(shù)千億個(gè)晶體管具有相同的圖形。

近來,以進(jìn)一步升級光刻機(jī)來提高密度的方法已達(dá)到了瓶頸。刻蝕工藝的重要性自然更加突顯。CPU和AP等產(chǎn)品中的鰭式場效電晶體(FinFET)*就是很好的一個(gè)案例。

尤其對于SK海力士這種半導(dǎo)體存儲器制造商來說,動(dòng)態(tài)隨機(jī)存儲器(DRAM)和閃存(NAND)等主打產(chǎn)品對刻蝕工藝的依存度非常高。比如,DRAM中裝載數(shù)據(jù)的電容(Capacitor)要堆疊得更高,而NAND則需要先實(shí)現(xiàn)三維化,一次刻蝕就要穿透100多層。由于這些產(chǎn)品必然會不斷拉高深寬比(Aspect Ratio)*,為確保可靠度,開始刻蝕的部分與底邊直徑要相差無幾??梢娍涛g工藝有待解決的問題仍然很多。

*鰭式場效電晶體(FinFET,F(xiàn)in Field-Effect Transistor):三維MOSFET的一種,因電晶體的形狀與魚鰭相似而得名。


*深寬比(Aspect Ratio,也稱縱橫比):刻蝕高度與寬度的比值。深寬比越高就表示穿透得越深。

b278217a-a210-11ee-8b88-92fbcf53809c.png

▲ 圖6: DRAM的內(nèi)部結(jié)構(gòu);單元區(qū)域內(nèi)許多纖細(xì)縱向的結(jié)構(gòu)即為電容

相信讀者們可以從本篇刻蝕工藝介紹中再一次體會到半導(dǎo)體制程工藝間緊密相連并相互影響。上文也說到,硅與二氧化硅遇氟可立即氣化成氣體揮發(fā)。但如果把晶圓材質(zhì)變成鍺(Ge)等其他材料,即便本身具有很好的物理特性,但只要它們無法經(jīng)刻蝕、沉積等工藝加工,便沒有意義。

如今,制造技術(shù)的突破變得愈發(fā)艱難,筆者希望直接或間接從事半導(dǎo)體領(lǐng)域工作的人員們也能清楚地認(rèn)識到這一事實(shí)。為了攻克剩下的障礙,我們對上下游相關(guān)工藝也要非常了解,還要與相關(guān)部門密切溝通。

五、沉積——“更小、更多”,微細(xì)化的關(guān)鍵

01沉積:“加法工藝”

在前幾篇文章,我們一直在借用餅干烘焙過程來形象地說明半導(dǎo)體制程。在上一篇我們說到,為制作巧克力夾心,需通過“刻蝕工藝”挖出餅干的中間部分,然后倒入巧克力糖漿,再蓋上一層餅干層?!暗谷肭煽肆μ菨{”和“蓋上餅干層”的過程在半導(dǎo)體制程中就相當(dāng)于“沉積工藝”。

b28f4170-a210-11ee-8b88-92fbcf53809c.png

▲ 圖1: 倒入巧克力糖漿后,再蓋上一層餅干層

沉積工藝非常直觀:將晶圓基底投入沉積設(shè)備中,待形成充分的薄膜后,清理殘余的部分即可以進(jìn)入下一道工藝了。

在半導(dǎo)體制程中,移除殘余材料的“減法工藝”不止“刻蝕”一種,引入其他材料的“加法工藝”也非“沉積”一種。比如,光刻工藝中的光刻膠涂敷,其實(shí)也是在基底上形成各種薄膜;又如氧化工藝中晶圓(硅)氧化,也需要在基底表面添加各種新材料。那為什么唯獨(dú)要強(qiáng)調(diào)“沉積”工藝呢?

這背后的原因,正是半導(dǎo)體的微細(xì)化趨勢。如今,市場對電子產(chǎn)品的性能和低電耗的要求越來越高,這就需要更加“微細(xì)”的半導(dǎo)體來做支撐。如果采用體積更小、耗能更低的半導(dǎo)體,就可以在電子產(chǎn)品中添加更多功能。想實(shí)現(xiàn)半導(dǎo)體的微細(xì)化,就需要由不同材料沉積而成的薄膜層,使芯片內(nèi)部不同部分各司其職。金屬層就是其中的一種。過去,半導(dǎo)體制造商曾采用導(dǎo)電性*較高的鋁做芯片的金屬布線。但隨著鋁微細(xì)化技術(shù)遇到瓶頸,制造商就利用導(dǎo)電性更高的銅代替鋁布線。但采用銅就出現(xiàn)了一個(gè)新問題,與鋁不同,銅會擴(kuò)散到不應(yīng)擴(kuò)散的地方(二氧化硅,SiO2)。為防止銅擴(kuò)散,制造商們就必須在銅布線區(qū)形成阻擋層,即一種高質(zhì)量的薄膜涂層。

半導(dǎo)體核心元件層與布線層厚度只有頭發(fā)的數(shù)千分之一,想堆疊如此微細(xì)的元件和布線層,就需要沉積超薄且厚度極均勻的薄膜。這也是為什么沉積技術(shù)在半導(dǎo)體制程技術(shù)如此重要。本期文章所涉及的“沉積工藝”,又稱為薄膜(Thin film)工藝,希望能為讀者提供參考。

*導(dǎo)電性:物體傳導(dǎo)電流的能力;金屬等材料的導(dǎo)電性較高。

02薄膜的分類與作用

“加法工藝”在半導(dǎo)體制程中至關(guān)重要,因?yàn)榘雽?dǎo)體是無法僅憑硅一種材料完成任何操作的:薄膜可以劃分兩個(gè)區(qū)域,使其不互相干擾;或通過互連電線,連接兩個(gè)區(qū)域;必要時(shí),還需要通過特殊的薄膜涂層來加強(qiáng)或減弱電場的力度;還可提前生成薄膜,為下一道工藝做準(zhǔn)備等。接下來我們將詳細(xì)講解一下薄膜的幾種作用。

介質(zhì)薄膜是重要的半導(dǎo)體薄膜之一。它可用作電路間的絕緣層,掩蔽半導(dǎo)體核心元件的相互擴(kuò)散和漏電現(xiàn)象,從而進(jìn)一步改善半導(dǎo)體操作性能的可靠性;它還可用作保護(hù)膜,在半導(dǎo)體制程的最后環(huán)節(jié)生成保護(hù)膜,保護(hù)芯片不受外部沖擊;或用作隔離膜,在堆疊一層層元件后進(jìn)行刻蝕時(shí),防止無需移除的部分被刻蝕。淺槽隔離(STI,Shallow Trench Isolation)*和金屬層間電介質(zhì)層(IMD,Intermetal Dieletric)*就是典型的例子。沉積材料主要有二氧化硅(SiO2),碳化硅(SiC)和氮化硅(SiN)等。

b29f0632-a210-11ee-8b88-92fbcf53809c.png

▲ 圖2: STI在相鄰元件之間形成陡峭溝渠,防止漏電

另外,還有金屬薄膜。芯片底部的元件(晶體管)如果未經(jīng)連接是起不到任何作用的。想要使不同的元件各司其職,必須將它們與其他元件和電源連接起來。元件的連接需要通過鈦、銅或鋁等金屬進(jìn)行布線,連接金屬布線和元件,還需要生成接觸點(diǎn)(Contact)。這就像家電產(chǎn)品中連接電子線路板上的元件與元件時(shí)需焊接電線一樣:連在電子線路板上的電線相當(dāng)于半導(dǎo)體的金屬布線,焊接點(diǎn)就相當(dāng)于半導(dǎo)體內(nèi)的接觸點(diǎn)。

除此之外,沉積工藝在晶體管的高介電性薄膜和用于多重曝光*的硬掩模等方面應(yīng)用范圍也非常廣泛??梢哉f,沉積在制造工藝中無處不在。不僅如此,過去沒有采用沉積方式的工藝如今也開始采用沉積方式。高介電性薄膜就是其中之一。隨著半導(dǎo)體的微細(xì)化發(fā)展,半導(dǎo)體需要更高質(zhì)量、更精準(zhǔn)的薄膜。因此,過去以氧化工藝制作的高介電性薄膜,如今也開始以沉積方式制作。

*淺槽隔離(STI,Shallow Trench Isolation):在相鄰的元件之間形成陡峭的溝渠,在溝渠中填入氧化物形成元件隔離結(jié)構(gòu),以防止漏電。

*金屬層間電介質(zhì)層(IMD,Intermetal Dieletric):阻止金屬布線層之間不必要電流的流動(dòng)的保護(hù)膜。

*多重曝光(Multi Patterning):通過重復(fù)的曝光和刻蝕工藝,追求更高圖形密度和更小工藝節(jié)點(diǎn)的技術(shù)。

03衡量沉積質(zhì)量的主要指標(biāo):

均勻度、臺階覆蓋率、溝槽填充

b2a39666-a210-11ee-8b88-92fbcf53809c.png

▲ 圖3:高均勻度&低均勻度的示例

在講解薄膜沉積方式之前,我們先來了解幾個(gè)衡量沉積質(zhì)量的主要指標(biāo)。這些指標(biāo)與刻蝕工藝有很多相似之處。第一個(gè)指標(biāo)就是均勻度。顧名思義,該指標(biāo)就是衡量沉積薄膜厚度均勻與否的參數(shù)。薄膜沉積和刻蝕工藝一樣,需將整張晶圓放入沉積設(shè)備中。因此,晶圓表面不同角落的沉積涂層有可能厚度不一。高均勻度表明晶圓各區(qū)域形成的薄膜厚度非常均勻。

第二個(gè)指標(biāo)為臺階覆蓋率(StepCoverage)。如果晶圓表面有斷層或凹凸不平的地方,就不可能形成厚度均勻的薄膜。臺階覆蓋率是考量膜層跨臺階時(shí),在臺階處厚度損失的一個(gè)指標(biāo),即跨臺階處的膜層厚度與平坦處膜層厚度的比值。臺階覆蓋率越接近1,表明跨臺階處(底部或側(cè)壁)膜層厚度與平坦處膜層相差越少,越遠(yuǎn)離1(即越小于1)表明跨臺階處的膜層厚度對比平坦處膜層厚度越薄。

b2aa983a-a210-11ee-8b88-92fbcf53809c.png

▲ 圖4:臺階覆蓋率(上圖)& 溝槽填充(下圖)示例

最后一個(gè)指標(biāo)是溝槽填充(Gapfill)。溝槽填充是衡量溝槽(Gap)填充程度的一個(gè)參數(shù)。如圖4所示,半導(dǎo)體表面有很多凹凸不平的溝槽,沉積過程中很難保證可以把所有溝槽都填得嚴(yán)嚴(yán)實(shí)實(shí)。溝槽填充能力差,就會形成孔洞(Void),會影響材料的致密性,從而影響薄膜強(qiáng)度,造成坍塌。如果說“等向性刻蝕”是沒有方向選擇性地移除了不該移除的部分,沉積工藝中的“溝槽填充能力差”即表明沒有填充到該填充的地方。

04沉積方式

與前面我們所講的工藝相同,沉積工藝也可分為化學(xué)氣相沉積(CVD,Chemical Vapor Deposition)物理氣相沉積(PVD, Physical Vapor Deposition)。CVD是指通過化學(xué)方法在晶圓表面沉積涂層的方法,一般是通過給混合氣體施加能量來進(jìn)行。假設(shè)想在晶圓表面沉積物質(zhì)(A),則需先向沉積設(shè)備輸入可生成物質(zhì)(A)的兩種氣體(B和C),然后給氣體施加能量,促使氣體B和C發(fā)生化學(xué)反應(yīng)。

化學(xué)方程式如下:

B + C + (能量等) →A +副產(chǎn)物

CVD的優(yōu)點(diǎn)是速率快,且由于在晶圓表面發(fā)生化學(xué)反應(yīng),擁有優(yōu)秀的臺階覆蓋率。但從上述化學(xué)方程式中不難看出,其缺點(diǎn)就是產(chǎn)生副產(chǎn)物廢氣。在半導(dǎo)體制程中,很難將這些廢氣完全排出,難免會參雜些不純物質(zhì)。因此,CVD多用于不需要精準(zhǔn)把控材料特性的沉積涂層,如沉積各種消耗性的膜層(硬掩模)或各種厚絕緣薄膜等。

b2b667aa-a210-11ee-8b88-92fbcf53809c.png

▲ 圖5:化學(xué)氣相沉積 vs 物理氣相沉積

PVD則向晶圓表面直接轟擊要沉積的材料。也就是說,如果想在晶圓表面沉積A物質(zhì),則需將A物質(zhì)氣化后,使其沉積到晶圓表面。常用的PVD方法有濺射(Sputtering)*,這在刻蝕工藝中也曾涉及過。在這種方法中,我們先向A物質(zhì)靶材(Target)轟擊離子束(主要采用惰性氣體),使A物質(zhì)粒子濺射出來,再將脫落的粒子轉(zhuǎn)移至硅片表面,并形成薄膜。

PVD的優(yōu)點(diǎn)是無副產(chǎn)物,沉積薄膜的純度高,且還可以沉積鎢(W)、鈷(Co)等無反應(yīng)能力的純凈物材料。因此,多用于純凈物的金屬布線。

還有一種比較特殊的方法,即原子層沉積(ALD,Atomic Layer Deposition)。前面說到的CVD和PVD兩種方式,要么是通過氣體的化學(xué)反應(yīng)在晶圓表面沉積所需物質(zhì),要么是通過轟擊離子束的物理過程沉積所需物質(zhì)。ALD則與上述兩種方式有所不同。如果想用這種方法在晶圓表面上沉積薄薄的一層A物質(zhì),則要先備好經(jīng)反應(yīng)后可生成A物質(zhì)的反應(yīng)物B和C。反應(yīng)物B必須是容易被晶圓表面吸附的氣體(前驅(qū)體,Precursor),反應(yīng)物C則應(yīng)具有較強(qiáng)的反應(yīng)活性。在ALD過程中,需先把氣體B吸附到晶圓表面,如果氣體B之間很難相互吸附,晶圓表面將形成一層由氣體B組成的原子層。然后,除去剩余氣體B并輸入氣體C,使吸附在晶圓表面上的氣體B和氣體C發(fā)生反應(yīng),形成A物質(zhì)和其他副產(chǎn)物氣體,再除去多余的氣體A和副產(chǎn)物氣體。不斷反復(fù)上述過程,以單原子膜形式一層一層地在基底表面鍍膜。

b2c76442-a210-11ee-8b88-92fbcf53809c.png

▲ 圖6:傳統(tǒng)CVD vs ALD (摘自:(株)圖書出版HANOL出版社[半導(dǎo)體制造技術(shù)的理解293p])

ALD的最大優(yōu)勢在于沉積層極均勻的厚度與優(yōu)異的臺階覆蓋率。氣態(tài)前驅(qū)體可縱橫吸附,且ALD一個(gè)周期只沉積一層原子層。但正是因?yàn)閱卧訉有枰鸫纬练e,沉積速率也就慢了下來。因此,ADL多用于DRAM電容器等縱橫比*高,需要高質(zhì)量膜層的區(qū)域。

從上述對沉積工藝的說明中不難看出,沉積工藝中也存在需權(quán)衡之處:要提高均勻度等精確度,只能犧牲沉積速率。在整個(gè)半導(dǎo)體制程中,精確度和速率似乎永遠(yuǎn)位于蹺蹺板的兩端,需要不斷權(quán)衡。這對于沉積工藝來說也不例外。

*濺射(Sputtering):利用高能量轟擊靶材,使其粒子離開其表面的物理過程。

*縱橫比:高度與寬度的比值,縱橫比高表示結(jié)構(gòu)物的寬度相對較窄,高度卻相對較高。

05壓力與溫度

和在刻蝕工藝中一樣,半導(dǎo)體制造商在沉積過程中也會通過控制溫度、壓力等不同條件來把控膜層沉積的質(zhì)量。例如,降低壓強(qiáng),沉積速率就會放慢,但可以提高垂直方向的沉積質(zhì)量。因?yàn)?,壓?qiáng)低表明設(shè)備內(nèi)反應(yīng)氣體粒子的數(shù)量少,粒子之間發(fā)生沖撞的概率就少,不會妨礙粒子的直線運(yùn)動(dòng)。施加高溫則可以提高膜層的純度。當(dāng)然,這樣一來就無法使用鋁(其熔點(diǎn)為550度)等熔點(diǎn)低的金屬材料。

b2ded348-a210-11ee-8b88-92fbcf53809c.png

▲ 圖7:壓強(qiáng)對沉積工藝的影響

因此,在不同需求下,沉積相同材料也可采用完全不同的沉積方式。例如,同樣是沉積二氧化硅(SiO2),柵極絕緣層與STI所需特性就不同,其沉積的方式也不同。柵極絕緣層是核心元件區(qū)域,要求較高的沉積質(zhì)量,應(yīng)采用高溫低壓的方式;STI則不然,它只要起到兩個(gè)元件間的絕緣作用即可,通過低溫高壓的方式加快沉積速率才是關(guān)鍵。

06材料選擇上的難題

您或許常會在新聞中看到這樣的報(bào)道:“發(fā)現(xiàn)了性能高出XX倍的新材料”。只看新聞內(nèi)容,會感覺一場翻天覆地的半導(dǎo)體革命似乎即將來臨。但在所謂的“新材料”中,真的能派上用場的卻寥寥無幾。因?yàn)椋牧媳旧淼奶匦院?,并不代表它一定能制成高性能的半?dǎo)體。對沉積材料的要求可不比沉積設(shè)備低。下面,我們來看一看材料的特性會對半導(dǎo)體制程產(chǎn)生什么樣的影響。

b2e2b378-a210-11ee-8b88-92fbcf53809c.png

▲ 圖8:加熱導(dǎo)致圖形損壞

物體遇熱體積會變大,這種現(xiàn)象被稱作熱膨脹。鐵軌之間留有縫隙就是為了防止鐵軌在炎熱的夏天因膨脹變形。半導(dǎo)體制程中也會出現(xiàn)這種熱膨脹現(xiàn)象。問題在于,每一種材料的熱膨脹程度不同,例如鋁的熱膨脹系數(shù)是氧化硅的40倍之多。舉個(gè)比較極端的例子:如果在氧化硅上沉積了鋁薄膜,即便鋁薄膜沉積很成功,一旦進(jìn)入后續(xù)的高溫工藝,其內(nèi)部結(jié)構(gòu)就會完全被破損。換句話說,如果采用膨脹系數(shù)完全不同的材料替代之前的沉積材料,會嚴(yán)重影響高溫條件下的產(chǎn)品良率。

b2eee6a2-a210-11ee-8b88-92fbcf53809c.png

▲ 圖9:電遷移現(xiàn)象

除此之外,還要考慮材料的電遷移(EM,Electromigration)現(xiàn)象。電遷移是指在金屬布線上施加電流時(shí),移動(dòng)的電荷撞擊金屬原子,使其發(fā)生遷移的現(xiàn)象。鋁等輕金屬很容易發(fā)生這種電遷移現(xiàn)象。為防止鋁的電遷移現(xiàn)象,半導(dǎo)體制造商們開始用銅布線替代鋁,結(jié)果是又多了一道防止銅擴(kuò)散的阻擋層沉積工藝。隨著半導(dǎo)體不斷微細(xì)化發(fā)展,銅布線也開始出現(xiàn)電遷移現(xiàn)象。為攻克這一難關(guān),英特爾又用鈷布線取代了銅。而既然核心金屬布線層的材料發(fā)生了變化,上下層的工藝也肯定要跟著變??梢姡虢鉀Q材料的電遷移現(xiàn)象,前后方的工藝也要隨之發(fā)生很大變化。

要始終銘記:半導(dǎo)體制程是數(shù)百個(gè)工藝錯(cuò)綜復(fù)雜緊密連接而成的,牽一發(fā)而動(dòng)全身。新材料是好是壞,不能單看材料本身的特性,還要看能不能與前后方工藝相連,畢竟沉積材料不能獨(dú)立存在。

07結(jié)論:一種材料,多種方法

讀到這兒,估計(jì)讀者們已經(jīng)發(fā)現(xiàn)了幾點(diǎn)有趣之處了:首先,同樣的材料可以通過不同的方法制成。例如,二氧化硅(SiO2)可以通過氧化工藝,也可以通過沉積工藝形成。即便是相同的材料,如果通過不同工藝涂敷到半導(dǎo)體上,其物理特性也會截然不同。

其次,氧化、刻蝕、沉積等看似完全不同的工藝其實(shí)有很多共同之處。比如,物理刻蝕中采用的濺射方法,在沉積工藝中同樣也會使用,區(qū)別在于“是濺射要刻蝕的晶圓本身”,還是“把濺射出來的粒子沉積到晶圓上”?;瘜W(xué)刻蝕中最重要的一點(diǎn)就是刻蝕氣體與反應(yīng)源生成的廢氣是否易于排放,化學(xué)氣相沉積也同樣如此。CVD過程中生成的副產(chǎn)物也要易于揮發(fā)、易于排放,這樣后續(xù)工藝才會變得更容易。

可見,受半導(dǎo)體制造商青睞的新材料,并不是其本身特性有多優(yōu)秀的材料,而是其沉積速率、純度等特性易于控制的材料。而且,沉積材料還要易于通過刻蝕或CMP*等工藝去除。如果采用需要過高溫度的材料,可能會因高溫改變已沉積的其他材料。而若采用對溫度非常敏感的材料,又會出現(xiàn)在下一道工藝中無法加熱的問題。

如上文所述,“半導(dǎo)體制程由數(shù)百個(gè)制造工藝緊密連接而成”。想做好每一道工藝,對其他相關(guān)部門的業(yè)務(wù)也要有很好的把握。要擅于與同事溝通,更要懂得準(zhǔn)確無誤地傳達(dá)自己的想法。一個(gè)半導(dǎo)體產(chǎn)品需要多人合作才可以完成,雖然過程有些辛苦,但也很值得。

*化學(xué)機(jī)械拋光(CMP,Chemical Mechanical Polishing):通過物理、化學(xué)反應(yīng)研磨, 去除非所需物質(zhì),使半導(dǎo)體晶圓表面變得平坦。

六、金屬布線——為半導(dǎo)體注入生命的連接

01半導(dǎo)體的核心——“連接”

在上幾篇文章中,我們詳細(xì)講解了氧化、光刻、刻蝕、沉積等工藝。經(jīng)過上述工藝,晶圓表面會形成各種半導(dǎo)體元件。半導(dǎo)體制造商會讓晶圓表面布滿晶體管和電容(Capacitor)1;而代工廠或CPU制造商則會讓晶圓底部排列鰭式場效電晶體(FinFET)2等三維晶體管。

1電容(Capacitor):蓄電池等儲存電荷(電能)的設(shè)備,用于各種電子產(chǎn)品。在本文中,電容指半導(dǎo)體數(shù)據(jù)的存儲設(shè)備。

2鰭式場效電晶體(FinFET,F(xiàn)in Field-Effect Transistor):三維MOSFET的一種,因電晶體形狀與魚鰭相似而得名。

b2feb7da-a210-11ee-8b88-92fbcf53809c.png

▲ 圖1:電子元器件區(qū)域與金屬布線區(qū)域(摘自:Cepheiden)

單獨(dú)的元器件若不經(jīng)連接,則起不了任何作用。如果不把電子線路板上的元器件焊接起來,它們就無法工作。同樣地,晶圓上的晶體管若沒有相互連接起來,也起不了任何作用。只有把晶體管與外部電源連接起來,它們才能各司其職,正常執(zhí)行把已處理過的數(shù)據(jù)傳輸?shù)较乱粋€(gè)環(huán)節(jié)等各種工作??梢?,晶圓上的元器件與電源以及其他元器件之間的連接是必要的。更何況,半導(dǎo)體本身就是一個(gè)“集成電路”,各個(gè)元器件之間需要通過電能來“交流”信息。根據(jù)半導(dǎo)體電路圖連接電路的過程,就是本篇要講的“金屬布線”工藝。

相同的元器件,用不同的方式連接,也能形成不同的半導(dǎo)體(CPU、GPU等)。可以說,金屬布線是賦予半導(dǎo)體工藝“目的”的一個(gè)過程

b31601c4-a210-11ee-8b88-92fbcf53809c.png

▲ 圖2:以金屬布線(黃色部分)連接電子元器件層(紅色部分)(圖中省略了部分結(jié)構(gòu))(摘自:維基百科)

本篇要講的金屬布線工藝,與前面提到的光刻、刻蝕、沉積等獨(dú)立的工藝不同。在半導(dǎo)體制程中,光刻、刻蝕等工藝,其實(shí)是為了金屬布線才進(jìn)行的。在金屬布線過程中,會采用很多與之前的電子元器件層性質(zhì)不同的配線材料(金屬)。

換言之,不像刻蝕工藝有專門的“刻蝕設(shè)備”,金屬布線環(huán)節(jié)沒有其專門的“設(shè)備”,而是要綜合使用各個(gè)工藝環(huán)節(jié)的設(shè)備:如移除殘余材料時(shí),使用刻蝕設(shè)備;添加新材料時(shí),使用沉積設(shè)備;每道工藝之間,則通過光刻設(shè)備進(jìn)行光刻。

02導(dǎo)線與元器件的連接:接觸孔

連接電子線路板時(shí),要先用電線連接電子線路板上的各個(gè)電子元器件后,再進(jìn)行焊接。但半導(dǎo)體制程需要從下往上一層一層堆疊。因此,要先做好元器件層后,在其上層生成接觸孔(Contact,連接元器件與導(dǎo)線),然后再進(jìn)行金屬布線。

b322286e-a210-11ee-8b88-92fbcf53809c.png

▲ 圖3:在面餅上快生成接觸孔時(shí),鎢(W)的作用與金屬阻擋層的作用(摘自:Cepheiden)

或許有些讀者會好奇:為什么不跳過“接觸孔”,直接把金屬與元器件連接起來?這還要從半導(dǎo)體的微細(xì)化說起。在上一篇中,我們提到了衡量溝槽填充程度的溝槽填充(Gap fill)能力。若使用鋁等配線材料,一旦穿孔稍深一些,就算“沉積”得再好,也無法把溝槽完全填充好,從而容易生產(chǎn)出一些中間有空隙的不良導(dǎo)線。也就是說,如果想實(shí)現(xiàn)較深的金屬布線(即元器件層與金屬布線層的距離較遠(yuǎn)時(shí)),就需要用鎢(W)等溝槽填充能力優(yōu)秀的配線材料進(jìn)行沉積,提前把溝槽填充好。或者,生成接觸孔后再進(jìn)行高溫處理。如果采用鋁等熔點(diǎn)較低的配線材料,需要先用鎢形成接合面后,再連接鋁導(dǎo)線。

在尺度只有頭發(fā)直徑數(shù)千分之一的微觀世界里,很多問題是我們難以想象的。為解決這些問題,我們必須比較各種對策,不斷尋找最優(yōu)的方案。前邊提到的鎢配線似乎只有優(yōu)點(diǎn),其實(shí)不然。作為半導(dǎo)體配線材料,鎢遠(yuǎn)不如銅或鋁。鎢的電阻大,如果用它來充當(dāng)所有配線材料,將大幅提高半導(dǎo)體的功耗。

03金屬阻擋層:減少金屬與金屬之間的電阻

元器件與接觸孔之間需要能起到阻擋作用的金屬層(金屬或金屬化合物)——金屬阻擋層(Barrier metal)。連接不同性質(zhì)的物質(zhì)時(shí),接合面的電阻3會變大,令半導(dǎo)體的功耗大幅提高。因此,在半導(dǎo)體制程中,有效連接金屬與非金屬材料的難度相當(dāng)大。形成金屬阻擋層的目的,便是實(shí)現(xiàn)非金屬材料與金屬材料間的“自然”過渡。要形成金屬阻擋層,我們要先在硅表面涂敷鈦(Ti)或鈷(Co)等材料,使其與硅發(fā)生反應(yīng)生成硅化物接觸結(jié)構(gòu)(Contact Silicide)。這一過程被稱為硅化工藝(Silicidation) 。

3從物理學(xué)講,由于金屬與硅的導(dǎo)帶(Conduction band)4間存在能量間隙,所以會產(chǎn)生電阻。

4導(dǎo)帶(Conduction Band):在固體能帶結(jié)構(gòu)內(nèi),以能級分裂的兩個(gè)帶中,用高帶促進(jìn)固體導(dǎo)電。

此外,金屬阻擋層還可以在各工藝中保護(hù)元器件不受損。例如,鋁與硅(Si,晶圓的主要成分)相遇時(shí)會發(fā)生反應(yīng),導(dǎo)致接合面被破壞。因此,如果想在元器件層的近處排布鋁線,就必須在硅與鋁接合面之間形成鈦化合物等阻擋層,防止接合面被破壞。

b329851e-a210-11ee-8b88-92fbcf53809c.png

▲ 圖4:采用鋁材料進(jìn)行金屬布線時(shí),金屬阻擋層的作用

如果以銅取代鋁作為配線材料,金屬阻擋層的作用就更多了。銅的反應(yīng)能力比鋁還強(qiáng),可以與比硅更穩(wěn)定的二氧化硅(SiO2)發(fā)生反應(yīng)。如果銅擴(kuò)散到二氧化硅里,銅粒子就會滲入到氧化膜中,造成漏電現(xiàn)象。為防止這種情況的發(fā)生,要用鉭(Ta)在銅與元器件層接合面形成阻擋層。

04導(dǎo)線:元器件與元器件之間的電線

生成接觸孔后,下一步就是連接導(dǎo)線。在半導(dǎo)體制程中,連接導(dǎo)線的過程與一般電線的生產(chǎn)過程非常相似,即先制作線的外皮。在一般的電路連接中,直接采用成品電線即可。但在半導(dǎo)體制程中,需要先“制作電線”。

b32d81d2-a210-11ee-8b88-92fbcf53809c.png

▲ 圖5:反應(yīng)性離子刻蝕(RIE)與鑲嵌(Damascene)工藝的比較(摘自:(株)圖書出版HANOL出版社[半導(dǎo)體制造技術(shù)的理解293p])

電線的制作過程因配線材料而異。如果沉積鋁配線,可采用在前幾篇文章講述過的刻蝕和沉積工藝制作:先在整張晶圓表面涂敷金屬膜,再在涂敷光刻膠后進(jìn)行曝光,然后移除殘余的鋁材料,最后在鋁周圍添加各種絕緣材料。

然而,采用銅作為配線材料時(shí),金屬與電介質(zhì)層的沉積順序要反過來:即先沉積電介質(zhì)層,再通過光刻工藝刻蝕電介質(zhì)層,接著形成銅籽晶層(Seed Layer),在電介質(zhì)層之間加入銅,最后去除殘余銅。

有些讀者可能會好奇:只是調(diào)換了沉積順序,為什么這么重要?如前所述,采用銅布線,就必須涂敷銅籽晶層,為此又新加入了沉積和電鍍(Electroplating,以鋁作為配線材料時(shí)不需要電鍍過程)等工藝。日后,為攻克鋁配線帶來的技術(shù)難題,除用銅(Cu)來做線材外,我們還需要研發(fā)出更多新的工藝。其實(shí),早在100年前,人類就知道銅的導(dǎo)電性要優(yōu)于鋁。那么,當(dāng)時(shí)為什么沒有把銅用作配線材料?因?yàn)椋瑥陌雽?dǎo)體制造商的角度來看,要以更低廉的成本令導(dǎo)線用于更多的晶體管,半導(dǎo)體制造工藝也需要同步發(fā)展,而當(dāng)時(shí)的工藝并無法解決銅配材帶來的新問題。

金屬布線越往上越厚。在半導(dǎo)體元器件中,頻繁交流龐大數(shù)據(jù)的元器件之間當(dāng)然要近一些,反之則可以遠(yuǎn)些。排列較遠(yuǎn)的元器件之間,可以通過上層較厚的金屬布線來進(jìn)行連接。

不難看出,位于上層的較厚金屬導(dǎo)線無需高難度技術(shù)做支撐。半導(dǎo)體制造商在過去制作的有一定厚度的鋁導(dǎo)線到如今也可以直接放到上層。也就是說,上層布線無需采用尖端技術(shù),只要沿用以往的工藝即可。這也是半導(dǎo)體制造商節(jié)省投資并縮短工藝學(xué)習(xí)時(shí)間的一個(gè)有效方法。

05技術(shù)的組合

上述技術(shù)并非各自獨(dú)立存在,而是根據(jù)各半導(dǎo)體制造商的不同目的,形成各種不同組合,從而生產(chǎn)出廠商希望制造的多種半導(dǎo)體。例如,與SK海力士等芯片制造商不同,臺積電(TSMC)、英特爾等邏輯半導(dǎo)體5制造商對晶體管的電流控制能力要求比較高。為此,邏輯半導(dǎo)體制造商采用了FinFET等三維晶體管,實(shí)現(xiàn)了三維結(jié)構(gòu)的電流,以增加電流通道的面積。在三維晶體管上生成接觸孔,當(dāng)然要比在DRAM等平面晶體管上難度更大。圖6形象地揭示了這兩種情況,左圖是在平面電流通道生成接觸孔,較容易;右圖是在三維晶體管上生成接觸孔,較難。

5邏輯半導(dǎo)體(logic semiconductors):CPU、GPU等通過處理數(shù)字?jǐn)?shù)據(jù)來運(yùn)行電子設(shè)備的半導(dǎo)體。

b331ce36-a210-11ee-8b88-92fbcf53809c.png

▲ 圖6:在邏輯半導(dǎo)體的FinFET生成接觸孔,要遠(yuǎn)比在DRAM的平面晶體管生成接觸孔難

導(dǎo)線的金屬阻擋層也一樣,英特爾在其7納米工藝中,為解決銅的電遷移6現(xiàn)象,試圖用鈷配線代替銅,卻兜了好幾年的圈子。2022年,英特爾在4納米工藝中又重新回到原點(diǎn),采用銅配線,試圖通過用鉭(Ta)和鈷金屬層包裹銅線來攻克技術(shù)難關(guān)。英特爾將此稱為“強(qiáng)化銅(Enhanced Cu)”。

6電遷移(EM,Electromigration):指在金屬導(dǎo)線上施加電流時(shí),移動(dòng)的電荷撞擊金屬原子,使其發(fā)生遷移的現(xiàn)象。

隨著半導(dǎo)體的日益微細(xì)化,這種新的挑戰(zhàn)將不斷出現(xiàn)。對英特爾等CPU制造商來說,元器件的高速運(yùn)行至關(guān)重要。正是由于CPU制造商非常重視元器件的速率,連抗電遷移性能出色的銅配線也遇到了瓶頸。英特爾的幾番周折正是為了解決銅配線帶來的技術(shù)難關(guān)。而像SK海力士等芯片制造商,雖然不存在電路運(yùn)行速率上的問題,但卻在堆疊電容維持電荷容量上遇到了難題。微細(xì)化給處于不同制造環(huán)境的制造商提出的技術(shù)難題各有不同。但可以肯定的是,SK海力士在金屬布線上的難題也終將出現(xiàn)。

06結(jié)論:“理解”先于“死記硬背”,

“多人”先于“個(gè)人”

我們一起閱讀了六篇文章,說長也長,說短也短。區(qū)區(qū)六篇文章,或許連半導(dǎo)體產(chǎn)業(yè)的1%都無法囊括。盡管如此,筆者仍然義無反顧地寫下這六篇文章,希望能向未來將引領(lǐng)半導(dǎo)體產(chǎn)業(yè)的棟梁們傳達(dá)幾點(diǎn)核心信息。

半導(dǎo)體制程可以說是一個(gè)“集腋成裘”的過程。一張晶圓需經(jīng)數(shù)百道工藝、數(shù)萬人聯(lián)手才能完成。盡管每一名作業(yè)人員對最終成品的貢獻(xiàn)可能都不及1%,但任何一道工藝出現(xiàn)任何差錯(cuò),都會影響半導(dǎo)體的整體運(yùn)行。半導(dǎo)體制程中,每一名工作人員的工作都不是孤立的。我們要銘記:半導(dǎo)體制程的所有工藝都有機(jī)地交融在一起,牽一發(fā)而動(dòng)全身。

另外,我也希望讀者們能通過這六篇文章認(rèn)識到“理解工藝技術(shù)”的重要性。其中,理解技術(shù)彼此之間的關(guān)系尤為重要。比如,在沉積工藝中,我們要考慮到新添加的材料是否適合進(jìn)行加熱處理和刻蝕;充分刻蝕后,如果在后續(xù)的沉積工藝中,材料的溝槽填充能力不佳,會對整個(gè)產(chǎn)品產(chǎn)生影響;繪制微細(xì)圖形時(shí),如果光刻機(jī)光刻不充分,就要多重曝光7,即使用掩模多次重復(fù)沉積和刻蝕。

7多重曝光(Multi Patterning): 通過重復(fù)的曝光和刻蝕工藝,追求更高圖形密度和更小工藝節(jié)點(diǎn)的技術(shù)。

可見,半導(dǎo)體產(chǎn)業(yè)不僅是尖端產(chǎn)業(yè),更是需要“可信度”的產(chǎn)業(yè)。從業(yè)人員需要有較高的溝通和創(chuàng)新能力以及正直的從業(yè)態(tài)度。在成功研發(fā)出新的微細(xì)工藝,出現(xiàn)各種技術(shù)難關(guān)后,要本著正直的態(tài)度,將這些新的技術(shù)難題與業(yè)界分享,然后再聯(lián)合起來發(fā)揮創(chuàng)新能力,一同將難題攻克。半導(dǎo)體技術(shù)的發(fā)展是不斷出現(xiàn)問題、不斷解決問題的過程。光刻工藝中,以光刻膠解決浸沒式光刻機(jī)帶來的新問題就是一個(gè)典型的案例。

b3415c84-a210-11ee-8b88-92fbcf53809c.png

▲ 圖7:用光刻膠解決光刻機(jī)帶來的新問題

希望讀者們能通過本系列文章對半導(dǎo)體產(chǎn)業(yè)的性質(zhì)有所了解,并通過對技術(shù)的不斷深耕成就自己的職業(yè)生涯,與各相關(guān)部門聯(lián)手,制造出全球最有競爭力的半導(dǎo)體產(chǎn)品。

目前,半導(dǎo)體技術(shù)在微細(xì)化過程中再一次遇到瓶頸。越往后,半導(dǎo)體制造越要傾聽半導(dǎo)體用戶的聲音,通過溝通實(shí)現(xiàn)技術(shù)研發(fā)的能力也將變得越發(fā)重要。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26311

    瀏覽量

    209940
  • 計(jì)算機(jī)
    +關(guān)注

    關(guān)注

    19

    文章

    7168

    瀏覽量

    87144
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9499

    瀏覽量

    136927
  • 蝕刻
    +關(guān)注

    關(guān)注

    9

    文章

    410

    瀏覽量

    15246

原文標(biāo)題:一文看懂半導(dǎo)體前端工藝

文章出處:【微信號:xincunshe,微信公眾號:芯存社】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    有關(guān)半導(dǎo)體工藝的問題

    問個(gè)菜的問題:半導(dǎo)體(或集成電路)工藝   來個(gè)人講講 半導(dǎo)體工藝 集成電路工藝工藝
    發(fā)表于 09-16 11:51

    半導(dǎo)體工藝講座

    半導(dǎo)體工藝講座ObjectiveAfter taking this course, you will able to? Use common semiconductor terminology
    發(fā)表于 11-18 11:31

    芯片制造-半導(dǎo)體工藝制程實(shí)用教程

    芯片制造-半導(dǎo)體工藝制程實(shí)用教程學(xué)習(xí)筆記[/hide]
    發(fā)表于 11-18 11:44

    [課件]半導(dǎo)體工藝

    一個(gè)比較經(jīng)典的半導(dǎo)體工藝制作的課件,英文的,供交流……
    發(fā)表于 02-26 13:12

    半導(dǎo)體器件與工藝

    半導(dǎo)體器件與工藝
    發(fā)表于 08-20 08:39

    半導(dǎo)體工藝

    本帖最后由 eehome 于 2013-1-5 09:51 編輯 半導(dǎo)體工藝
    發(fā)表于 08-20 09:02

    半導(dǎo)體制造工藝》學(xué)習(xí)筆記

    `《半導(dǎo)體制造工藝》學(xué)習(xí)筆記`
    發(fā)表于 08-20 19:40

    半導(dǎo)體工藝

    有沒有半導(dǎo)體工藝方面的資料啊
    發(fā)表于 04-09 22:42

    半導(dǎo)體的主要特征

    在這里我們通過半導(dǎo)體與其他材料的主要區(qū)別來了解半導(dǎo)體的本性: 在室溫下,半導(dǎo)體的電導(dǎo)率處在103~10-9西[門子]/厘米之間,其中西[門子]/厘米為電導(dǎo)率的單位,電導(dǎo)率與電阻率互為倒數(shù)。一般金屬
    發(fā)表于 03-29 09:04

    適合用于射頻、微波等高頻電路的半導(dǎo)體材料及工藝情況介紹

    ,元素半導(dǎo)體指硅、鍺單一元素形成的半導(dǎo)體,化合物指砷化鎵、磷化銦等化合物形成的半導(dǎo)體。隨著無線通信的發(fā)展,高頻電路應(yīng)用越來越廣,今天我們來介紹適合用于射頻、微波等高頻電路的
    發(fā)表于 06-27 06:18

    主流的射頻半導(dǎo)體制造工藝介紹

    1、GaAs半導(dǎo)體材料可以分為元素半導(dǎo)體和化合物半導(dǎo)體兩大類,元素半導(dǎo)體指硅、鍺單一元素形成的半導(dǎo)體,化合物指砷化鎵、磷化銦等化合物形成的
    發(fā)表于 07-29 07:16

    半導(dǎo)體工藝幾種工藝制程介紹

      半導(dǎo)體發(fā)展至今,無論是從結(jié)構(gòu)和加工技術(shù)多方面都發(fā)生了很多的改進(jìn),如同Gordon E. Moore老大哥預(yù)測的一樣,半導(dǎo)體器件的規(guī)格在不斷的縮小,芯片的集成度也在不斷提升,工藝制程從90nm
    發(fā)表于 12-10 06:55

    半導(dǎo)體光刻蝕工藝

    半導(dǎo)體光刻蝕工藝
    發(fā)表于 02-05 09:41

    《炬豐科技-半導(dǎo)體工藝半導(dǎo)體行業(yè)的濕化學(xué)分析——總覽

    書籍:《炬豐科技-半導(dǎo)體工藝》文章:半導(dǎo)體行業(yè)的濕化學(xué)分析——總覽編號:JFSJ-21-075作者:炬豐科技網(wǎng)址:http://www.wetsemi.com/index.html對液體和溶液進(jìn)行
    發(fā)表于 07-09 11:30

    半導(dǎo)體區(qū)別于導(dǎo)體的重要特征

    半導(dǎo)體區(qū)別于導(dǎo)體的重要特征? 半導(dǎo)體導(dǎo)體是電子領(lǐng)域中的兩個(gè)重要概念,它們雖然有些相似,但是在性質(zhì)、應(yīng)用和制造過程等方面都有重要的區(qū)別。本文
    的頭像 發(fā)表于 08-27 15:55 ?3871次閱讀