0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一文詳解半導(dǎo)體封裝材料

jh18616091022 ? 來(lái)源:AIOT大數(shù)據(jù) ? 2024-01-05 11:19 ? 次閱讀

小型化、多引腳、高集成是封裝技術(shù)的演進(jìn)方向。針對(duì)下游電子產(chǎn)品小型化、輕量化、 高性能的需求,封裝朝著小型化、多引腳、高集成的方向不斷演進(jìn)。

封裝技術(shù)的歷史發(fā)展 大概分為五個(gè)階段,目前全球封裝行業(yè)處于以芯片級(jí)封裝(Chip Scale Package,CSP)、 球柵陣列封裝(Ball Grid Array,BGA)為主流技術(shù)的第三階段,并逐步向以系統(tǒng)級(jí)封裝 (System In Package,SiP)、倒裝焊封裝(Flip-Chip,F(xiàn)C)和凸點(diǎn)(Bumping)為代表 的第四、第五階段邁進(jìn)。

傳統(tǒng)封裝以引線框架型封裝為主,其形式主要包括雙列直插封裝 (Dual In-line Package,DIP)、小外形封裝(Small Out-Line Package,SOP)、方型扁 平式封裝(Quad Flat Package,QFP)、方形扁平無(wú)引腳封裝(Quad Flat No-leads Package, QFN)等,主要位于前兩個(gè)階段。

先進(jìn)封裝的形式主要包括 FC、WLP(晶圓級(jí)封裝,Wafer Level Package)、2.5D/3D 和 SiP 封裝等,位于芯片封裝技術(shù)發(fā)展歷程的第四、第五階段, 芯片相對(duì)小、引腳數(shù)量多、高度集成化是先進(jìn)封裝的特色。根據(jù)有無(wú)封裝基板,可以把先 進(jìn)封裝分為兩大類,先進(jìn)封裝一般不采用引線框架和鍵合絲進(jìn)行封裝。

Bumping、RDL、Wafer 和 TSV 是先進(jìn)封裝的四要素,具備其中一種即為先進(jìn)封裝

1)Bumping(凸塊)技術(shù),普遍應(yīng)用于 FC 封裝中,通過(guò)焊球起到晶圓之間、晶圓與封裝 基板之間電氣互聯(lián)和應(yīng)力緩沖的作用,隨著 Bumping 技術(shù)的發(fā)展,金屬凸點(diǎn)越來(lái)越小,逐 步發(fā)展為混合鍵合(Hybrid Bonding)技術(shù),該技術(shù)制造的電介質(zhì)表面較光滑、無(wú)凸點(diǎn), 且集成密度更高;

2)重布線層(Re-Distribution Layer,RDL)技術(shù),起到 XY 平面上電 氣互聯(lián)和延伸的作用,廣泛應(yīng)用于 WLP 和 2.5D/3D 封裝中,不適用于 FC 封裝;

3)晶圓 (Wafer)技術(shù),起到芯片基底和 WLP 封裝載體的作用,也可與硅基板共同實(shí)現(xiàn) 2.5D 集 成,隨著 Wafer 技術(shù)的發(fā)展,Wafer 面積逐漸增大;

4)硅通孔(Through Silicon Via,TSV) 技術(shù),起到 Z 軸方向電氣互聯(lián)的作用,在實(shí)現(xiàn)多維立體結(jié)構(gòu)封裝中極為重要。

先進(jìn)封裝下游應(yīng)用領(lǐng)域廣泛。在國(guó)際半導(dǎo)體龍頭廠商的研發(fā)下,目前主流的先進(jìn)封裝 技術(shù)維度逐漸從 2D 提升至 2.5D 和 3D,同時(shí)系統(tǒng)的功能密度也得到提升,在手機(jī)、5GAI、可穿戴設(shè)備、高端服務(wù)器和高性能計(jì)算等領(lǐng)域得到了廣泛應(yīng)用,產(chǎn)品的價(jià)值量和技術(shù) 壁壘相比于傳統(tǒng)封裝更高。

先進(jìn)封裝材料是先進(jìn)封裝產(chǎn)業(yè)鏈核心上游。先進(jìn)封裝技術(shù)的發(fā)展離不開封裝材料的支 撐,先進(jìn)封裝材料是先進(jìn)封裝產(chǎn)業(yè)鏈的核心上游,包括生產(chǎn)封裝基板的興森科技、崇達(dá)技 術(shù)、深南電路等廠商,生產(chǎn)包封材料的華海誠(chéng)科、凱華材料等廠商,以及生產(chǎn)芯片粘結(jié)材 料等其他材料的聯(lián)瑞新材等廠商。下游客戶主要是長(zhǎng)電科技、通富微電、華天科技等封測(cè) 廠商。

先進(jìn)封裝材料市場(chǎng)結(jié)構(gòu)以封裝基板和包封材料為主。半導(dǎo)體封裝材料可以細(xì)分為封裝 基板、引線框架、鍵合絲、包封材料、陶瓷封裝材料、芯片粘結(jié)材料和其他封裝材料。據(jù) SEMI 統(tǒng)計(jì),傳統(tǒng)的封裝材料市場(chǎng)結(jié)構(gòu)中封裝基板占比最高,為 40%,其次為引線框架和 鍵合線,占比均為 15%,包封材料、陶瓷封裝材料、芯片粘接材料和其他材料占比分別為 13.0%、11.0%、4.0%和 2.0%。先進(jìn)封裝一般不采用引線框架和引線鍵合的方式進(jìn)行封裝, 因而對(duì)引線框架和鍵合絲的需求較小,以封裝基板和包封材料為主。

除封裝基板和包封材料外,區(qū)別于傳統(tǒng)封裝,先進(jìn)封裝過(guò)程中還需要用到的材料有:

1)底部填充料(Underfill):FC 封裝的關(guān)鍵材料,主要用于芯片與基板的連接,分 散芯片表面承載應(yīng)力,緩解芯片、焊料和基板三者熱膨脹系數(shù)不匹配產(chǎn)生的內(nèi)應(yīng)力,保護(hù) 焊球、提高芯片抗跌落與熱循環(huán)可靠性等,產(chǎn)品需要具有很好的流動(dòng)性、高可靠性、低熱 膨脹系數(shù),對(duì)產(chǎn)品的配方及工藝要求極高。以環(huán)氧樹脂為主,添加球型硅微粉、固化劑等 進(jìn)行填充。

2)聚酰亞胺:在 WLP 封裝過(guò)程中,RDL 和晶圓表面的鈍化層中介質(zhì)通常需要光敏 絕緣材料來(lái)制造,傳統(tǒng)聚酰亞胺(Polyimide,PI)需要配合光刻膠使用,采用 PSPI 工藝 流程可大幅簡(jiǎn)化,主流應(yīng)用為光敏聚酰亞胺(Photo Sensitive Polyimide,PSPI)。

3)光刻膠:應(yīng)用場(chǎng)景與 PSPI 相似,主要在光刻工藝中使用,除 RDL 外,在封裝基 板、中介轉(zhuǎn)接板(Interposer)、TSV、Bumping 中也有應(yīng)用,與晶圓制造過(guò)程中使用的光 刻膠不同,封裝用光刻膠分辨率一般僅要求為微米級(jí)的厚膠、紫外光光源、436nm 的 g 線 與 365nm 的 i 線。

除封裝基板和包封材料外,傳統(tǒng)封裝和先進(jìn)封裝過(guò)程中均需要用到的材料有:

1)芯片粘接材料(Die Attach):用于粘接芯片與基板的封裝材料,在先進(jìn)封裝工藝 中主要在芯片堆疊、多芯片粘接和 FC 芯片粘接等工藝中,芯片堆疊工藝中導(dǎo)電膠使用較 多,20μm 以下的芯片厚度情況下,一般使用 DAF 膜(Die Attach Film)粘接。DAF 膜 根據(jù)解膠方式的不同又有 Non-UV 膜(通常稱之為藍(lán)膜)和 UV 膜之分。

2)電鍍液:目前傳統(tǒng)封裝中,電鍍是主流金屬化工藝之一。在先進(jìn)封裝工藝中,電 鍍主要用于 Bumping、RDL 和 TSV 工藝中。TSV 工藝可采用電鍍和 CVD 兩種填充方式, 由于先進(jìn)封裝孔徑一般在 5μm 以上,因此適合大直徑孔徑的電鍍是主流的TSV填充工藝。TSV 工藝中采用的電鍍材料主要是銅,Bumping 過(guò)程中電鍍材料主要是銅和錫銀。

芯片封裝工藝流程包括來(lái)料檢查、貼膜、磨片、貼片、劃片、劃片檢測(cè)、裝片、鍵合、塑封、打標(biāo)、切筋打彎、品質(zhì)檢驗(yàn)、產(chǎn)品出貨等。

8a534efe-aaf8-11ee-8b88-92fbcf53809c.jpg

8a69b84c-aaf8-11ee-8b88-92fbcf53809c.png

引線鍵合類BGA封裝結(jié)構(gòu)及所用材料

8a8c648c-aaf8-11ee-8b88-92fbcf53809c.png

倒裝BGA封裝結(jié)構(gòu)及所用材料

8a9fb47e-aaf8-11ee-8b88-92fbcf53809c.png

Chiplet典型封裝方式

8abb25b0-aaf8-11ee-8b88-92fbcf53809c.png

扇入型(Fan-in)與扇出型(Fan-out)晶圓級(jí)封裝形式對(duì)比

8ae4278a-aaf8-11ee-8b88-92fbcf53809c.png

典型RDL+模塑銅柱凸點(diǎn)工藝流程

8b0675a6-aaf8-11ee-8b88-92fbcf53809c.png

2.5D/3D封裝結(jié)構(gòu)示意圖

8b24ee6e-aaf8-11ee-8b88-92fbcf53809c.png

8b3c257a-aaf8-11ee-8b88-92fbcf53809c.png

TSV技術(shù)工藝流程

8b65b50c-aaf8-11ee-8b88-92fbcf53809c.png

1、封裝基板

8b7f337e-aaf8-11ee-8b88-92fbcf53809c.png

封裝基板,又稱IC載板,是一類用于承載芯片、連接芯片與PCB母板的線路板。傳統(tǒng)的IC封裝采用引線框架作為IC導(dǎo)通線路與支撐IC的載體,連接引腳于導(dǎo)線框架的兩旁或四周,如QFP、QFN)等。隨著技術(shù)發(fā)展,IC的線寬不斷縮小,集成度穩(wěn)步提高,IC封裝也逐步向著超多引腳、窄節(jié)距、超小型化方向發(fā)展。20世紀(jì)90年代中期,一種以BGA、CSP為代表的新型IC高密度封裝形式問(wèn)世,封裝基板隨之產(chǎn)生。

8b9ff5be-aaf8-11ee-8b88-92fbcf53809c.png

8bb95216-aaf8-11ee-8b88-92fbcf53809c.png

IC基板是先進(jìn)封裝中的核心材料。封裝基板的引入是從傳統(tǒng)封裝向先進(jìn)封裝邁進(jìn)的標(biāo)志性事件,在QFP等封裝形式無(wú)法滿足多引腳的產(chǎn)品需求時(shí),以BGA、QFN為代表的先進(jìn)封裝逐漸發(fā)展,封裝基板在實(shí)現(xiàn)多引腳、縮小封裝尺寸、提高布線密度等方面具有突出優(yōu)勢(shì),是先進(jìn)封裝中非常重要的一環(huán)。封裝基板介于芯片及PCB電路板之間,實(shí)現(xiàn)電氣連接,且能夠?yàn)樾酒峁┍Wo(hù)和支撐,形成散熱的通道。根據(jù)SEMI,封裝基板在引線類基板中成本中達(dá)48%,倒裝封裝成本占比更高達(dá)70-80%,其性能及成本直接影響到封測(cè)端。

8bd5ac18-aaf8-11ee-8b88-92fbcf53809c.png

8be20a3a-aaf8-11ee-8b88-92fbcf53809c.png

封裝基板是在PCB領(lǐng)域中HDI板(High Density Interconnector,高密度互連板)的基礎(chǔ)上發(fā)展而來(lái)的,屬于PCB的一個(gè)技術(shù)分支。它是第一大半導(dǎo)體封測(cè)材料,作為一種高端的PCB,具有高密度、高精度、高性能、小型化及輕薄化的特點(diǎn),可為芯片提供支撐、散熱和保護(hù)的作用,同時(shí)也可為芯片與PCB母板之間提供電氣連接及物理支撐。封裝基板的產(chǎn)品工藝不斷地隨著封裝形式演進(jìn),在高階封裝領(lǐng)域已取代傳統(tǒng)引線框架,成為芯片封裝中不可或缺的一部分。

8bfa15c6-aaf8-11ee-8b88-92fbcf53809c.jpg

封裝基板種類繁多,具有多種分類方式。根據(jù)絕緣層材料可分為有機(jī)封裝基板、無(wú)機(jī)封裝基板和復(fù)合基板。有機(jī)基板由有機(jī)樹脂、環(huán)氧樹脂等有機(jī)材料制成,介電常數(shù)較低且易加工,適用于導(dǎo)熱性要求不高的高頻信號(hào)傳輸。無(wú)機(jī)基板包括陶瓷基板和金屬基板,其中陶瓷基板是由各種無(wú)機(jī)陶瓷制成,耐熱性好、布線較易且尺寸穩(wěn)定。復(fù)合基板則是根據(jù)不同需求的特性來(lái)復(fù)合不同有機(jī)、無(wú)機(jī)材料。此外,封裝基板還可以根據(jù)封裝工藝和應(yīng)用領(lǐng)域進(jìn)行劃分。

8c0de808-aaf8-11ee-8b88-92fbcf53809c.jpg

8c1b0858-aaf8-11ee-8b88-92fbcf53809c.png

(1)按基材劃分

按基材,封裝基板又分為剛性基板和柔性基板。其中,剛性基板又可進(jìn)一步劃分為BT(Bismaleimide Triazine,雙馬來(lái)酰亞胺三嗪樹脂)、ABF(Ajinomoto Buildup Film,味之素堆積膜)、MIS(Molded Interconnect Substrate,鑄?;ミB基板);柔性基板又可進(jìn)一步分為PI(Polyimide,聚酰亞胺)、PE(Polyethylene,聚酯)。

8c401e90-aaf8-11ee-8b88-92fbcf53809c.png

BT樹脂最初是由日本三菱瓦斯研發(fā)出來(lái),由雙馬來(lái)酰亞胺與氰酸酯樹脂合成制得。BT基板不易熱漲冷縮、尺寸穩(wěn)定,材質(zhì)硬、線路粗,多用于手機(jī)MEMS、通信、內(nèi)存和LED等領(lǐng)域,全球約有70%以上IC載板使用 BT材料。ABF樹脂是由Intel主導(dǎo)研發(fā)的材料,基本被日本味之素所壟斷,由環(huán)氧樹脂/苯酚硬化劑、氰酸酯/環(huán)氧樹脂和帶有熱固性烯烴的氰酸酯制成。

8c628ca0-aaf8-11ee-8b88-92fbcf53809c.png

ABF作為增層材料,可直接附著于銅箔之上制備線路,無(wú)需壓合過(guò)程,因此可以做線路較細(xì)、高引腳數(shù)、高傳輸?shù)男酒嘤糜?CPU、GPU 和晶片組等大型高端晶片。MIS基板是一種新型技術(shù),包含一層或多層預(yù)包封結(jié)構(gòu),每一層之間都通過(guò)電鍍銅連接,具有更細(xì)致的布線能力與傳輸能力,以及更小的外形,目前在模擬、功率IC數(shù)字貨幣等領(lǐng)域迅速發(fā)展。

8c79354a-aaf8-11ee-8b88-92fbcf53809c.jpg

柔性基板方面,PI、PE樹脂在撓性PCB和IC載板中得到了廣泛的使用,尤其在帶式IC載板中應(yīng)用最多。撓性薄膜基板主要分為三層有膠基板和二層無(wú)膠基板。三層有膠板最初主要用于運(yùn)載火箭、巡航導(dǎo)彈、空間衛(wèi)星等軍工電子產(chǎn)品,后來(lái)也擴(kuò)展到各種民用電子產(chǎn)品芯片。無(wú)膠板厚度更小,適合于高密度布線,在耐熱性、細(xì)線化和薄型化具有明顯的優(yōu)勢(shì),產(chǎn)品廣泛應(yīng)用于消費(fèi)電子汽車電子等領(lǐng)域,是未來(lái)?yè)闲苑庋b基板主要發(fā)展方向。

根據(jù)封裝基板與芯片的連接方式及基板與PCB間的連接方式,封裝基板可分為四大類:塑封球柵陣列封裝(PBGA)、引線鍵合晶圓級(jí)封裝(WBCSP)、倒裝芯片級(jí)封裝(FCCSP)和倒裝芯片球刪格陣列(FCBGA)。當(dāng)前,我國(guó)在存儲(chǔ)芯片上的PBGA、WBCSP類封裝基板已實(shí)現(xiàn)穩(wěn)定量產(chǎn),向FCCSP、FCBGA類封裝基板邁進(jìn)。FCBGA層數(shù)多、面積大、線路密度高、線寬線距小及通孔、盲孔孔徑小,加工難度遠(yuǎn)大于FCCSP。目前,F(xiàn)CBGA的產(chǎn)能主要集中在中國(guó)臺(tái)灣、日本和韓國(guó)等地,如欣興電子、三星、南亞、京瓷、景碩等公司,中國(guó)大陸僅深南電路、興森科技、越亞半導(dǎo)體等公司有具備小批量量產(chǎn)能力。隨著AI、5G、大數(shù)據(jù)等技術(shù)的蓬勃發(fā)展,對(duì)大尺寸CPU、GPU等器件的需求快速提升,大尺寸FCBGA成為先進(jìn)封裝實(shí)現(xiàn)國(guó)產(chǎn)替代的關(guān)鍵布局。

8c87fbde-aaf8-11ee-8b88-92fbcf53809c.png

(2)按封裝工藝

按封裝工藝分類方面,根據(jù)IC載板與芯片的連接方式,半導(dǎo)體封裝可以分為WB和FC兩種形式。WB封裝利用外部能量使金屬引線與芯片和基板的焊盤結(jié)合,實(shí)現(xiàn)芯片與基板、芯片與芯片之間的互通,多用于射頻模塊、MEMS、存儲(chǔ)類芯片的封裝;倒裝封裝通過(guò)芯片上的焊球倒置,加熱結(jié)合在基板上,提高了傳輸效率及減少了封裝體積,多用于處理器芯片等產(chǎn)品的封裝。

此外,按IC載板與PCB的連接方式不同可分為CSP、BGA、PGA(Pin Grid Array,針形陣列封裝)及LGA(Land Grid Array,閘形陣列封裝),其中BGA/CSP為當(dāng)前主流封裝形式。BGA的I/O端子以圓形或柱狀焊點(diǎn)按陣列形式分布在封裝下面,提高了引腳數(shù)的同時(shí)減少了面積。CSP封裝使芯片面積與封裝面積之比不超過(guò)1:1.2,體積減小也變得更加輕薄,提升了芯片傳輸速度。PGA一般是將IC焊接在一塊電路板上,電路板的另一面是排列成方陣的插針,這些插針可以插入或焊接到其他電路板上對(duì)應(yīng)的插座中,適合于需要頻繁插拔的場(chǎng)合。LGA的特點(diǎn)在于其針腳是位于插座上而非集成電路上,芯片能被連接到PCB上或直接焊接至電路板上,與傳統(tǒng)針腳在集成電路上的封裝方式相比,可減少針腳損壞的問(wèn)題并可增加腳位。因此,按封裝工藝IC載板可分為六類:WB-CSP 、WB-BGA 、FC-CSP 、FC-BGA、FC-PGA、FC-LGA。

8ca6a886-aaf8-11ee-8b88-92fbcf53809c.jpg

(3)按應(yīng)用領(lǐng)域

按照應(yīng)用領(lǐng)域的不同,封裝基板分為存儲(chǔ)芯片封裝基板、微機(jī)電系統(tǒng)封裝基板、射頻模塊封裝基板、處理器芯片封裝基板和高速通信封裝基板等,主要應(yīng)用于移動(dòng)智能終端、服務(wù)器、存儲(chǔ)等下游領(lǐng)域之中。

8cbfa750-aaf8-11ee-8b88-92fbcf53809c.png

從產(chǎn)業(yè)鏈角度來(lái)看,封裝基板的上游主要為原材料,可分為結(jié)構(gòu)材料(樹脂、銅箔、絕緣材等)、化學(xué)材料(干膜、油墨、金鹽、光阻、蝕刻劑、顯影劑)以及耗材(鉆頭)。其中,樹脂、銅箔、銅球?yàn)檎糏C載板成本比重最大的原材料,比分別為35%,8%,6%;封裝載板下游主要為電子設(shè)備及其元器件,應(yīng)用于移動(dòng)終端、個(gè)人電腦、通訊設(shè)備、存儲(chǔ)、工控醫(yī)療、航空航天、汽車電子等領(lǐng)域。

8cdc54f4-aaf8-11ee-8b88-92fbcf53809c.jpg

封裝基板產(chǎn)品是一類更高端的PCB,有別于傳統(tǒng)PCB,高加工難度與高投資門檻是封裝基板的兩大核心壁壘。從產(chǎn)品層數(shù)、板厚、線寬與線距、最小環(huán)寬等維度看,封裝基板更傾向于精密化與微小化,而且單位尺寸小于150*150mm,其中線寬/線距是產(chǎn)品的核心差異,封裝基板的最小線寬/線距范圍在10~130um,遠(yuǎn)遠(yuǎn)小于普通多層硬板PCB的50~1000um。除高加工難度和高投資門檻外,客戶認(rèn)證的時(shí)間周期較長(zhǎng)(核心設(shè)備交期18~24個(gè)月,從組建團(tuán)隊(duì)、拿地建廠、裝修調(diào)試到產(chǎn)能爬坡、完成大客戶認(rèn)證,保守估計(jì)至少需要2-3年時(shí)間)且不輕易更換也鑄就了其高壁壘特性。

8cf358de-aaf8-11ee-8b88-92fbcf53809c.jpg

8d03e708-aaf8-11ee-8b88-92fbcf53809c.png

2、陶瓷基板

熱是影響大功率半導(dǎo)體器件可靠性的關(guān)鍵因素,根據(jù)化合積電,電子元器件55%故障率來(lái)自熱失效,電子元器件溫度每升高2度,可靠性下降10%。電子元器件器件熱管理包括封裝和系統(tǒng)性能兩個(gè)部分。從封裝角度出發(fā),器件散熱主要依靠熱傳導(dǎo)方式,熱量沿著芯片-鍵合層-基板-散熱器傳導(dǎo),最后通過(guò)對(duì)流耗散到空氣中。封裝基板作為大功率半導(dǎo)體器件重要的散熱通道,其選擇和結(jié)構(gòu)設(shè)計(jì)對(duì)性能至關(guān)重要。常見的選擇為金屬基板和陶瓷基板,陶瓷基板作為新興的散熱材料,具有優(yōu)良電絕緣性能,高導(dǎo)熱特性,導(dǎo)熱性與絕緣性都優(yōu)于金屬基板,更適合功率電子產(chǎn)品封裝,已成為大功率電力電子電路結(jié)構(gòu)技術(shù)和互連技術(shù)的基礎(chǔ)材料,廣泛應(yīng)用于LED、汽車電子、航天航空及軍用電子組件、激光等工業(yè)電子領(lǐng)域。

8d248968-aaf8-11ee-8b88-92fbcf53809c.png

陶瓷基板目前在電子科技領(lǐng)域起著非常重要作用,核心是陶瓷基板的高導(dǎo)熱性、高絕緣性、熱導(dǎo)率等優(yōu)勢(shì)決定。那么陶瓷基板與陶瓷基片而言,有什么突出優(yōu)勢(shì)呢?

1,陶瓷基板和陶瓷基片的區(qū)別

陶瓷基片,是以電子陶瓷為基底,對(duì)膜電路元件及外貼切元件形成一個(gè)支撐底座的片狀材料。
陶瓷基板是指銅箔在高溫下直接鍵合到陶瓷基片表面(單面或雙面)上的特殊工藝板。陶瓷基板所制成的超薄復(fù)合基板具有優(yōu)良電絕緣性能,高導(dǎo)熱特性,優(yōu)異的軟釬焊性和高的附著強(qiáng)度,并可像PCB板一樣能刻蝕出各種圖形,具有很大的載流能力。因此,陶瓷基板已成為大功率電力電子電路結(jié)構(gòu)技術(shù)和互連技術(shù)的基礎(chǔ)材料。
總之,簡(jiǎn)單來(lái)說(shuō),就是基片上沒(méi)有線路,基板上已經(jīng)蝕刻了金屬線路。

2,陶瓷基板的核心優(yōu)勢(shì)

陶瓷基板機(jī)械應(yīng)力強(qiáng),形狀穩(wěn)定;高強(qiáng)度、高導(dǎo)熱率、高絕緣性;結(jié)合力強(qiáng),防腐蝕;具有極好的熱循環(huán)性能,循環(huán)次數(shù)達(dá)5萬(wàn)次,可靠性高;與PCB板(或IMS基片)一樣可刻蝕出各種圖形的結(jié)構(gòu);無(wú)污染、無(wú)公害。

陶瓷基板的性能要求:

1.機(jī)械性質(zhì)

有足夠高的機(jī)械強(qiáng)度,除搭載元件外,也能作為支持構(gòu)件使用;加工性好,尺寸精度高;

2.電學(xué)性質(zhì)

絕緣電阻及絕緣破壞電壓高;介電常數(shù)低;介電損耗??;在溫度高、濕度大的條件下性能穩(wěn)定,確保可靠性。

3.熱學(xué)性質(zhì)

熱導(dǎo)率高;熱膨脹系數(shù)與相關(guān)材料匹配(特別是與Si的熱膨脹系數(shù)要匹配);耐熱性優(yōu)良。

4.其它性質(zhì)

化學(xué)穩(wěn)定性好;容易金屬化,電路圖形與其附著力強(qiáng);無(wú)吸濕性;耐油、耐化學(xué)藥品;a射線放出量小;所采用的物質(zhì)無(wú)公害、無(wú)毒性;在使用溫度范圍內(nèi)晶體結(jié)構(gòu)不變化

陶瓷基板也有成為陶瓷電路板、陶瓷線路板、陶瓷pcb板等,陶瓷基板根據(jù)陶瓷基片材料不同,可以分為氧化鋁陶瓷基板、氮化鋁陶瓷基板、氮化硅陶瓷基板、碳化硅陶瓷基板等,根據(jù)不同工藝又可以分為DPC陶瓷基板、DBC陶瓷基板、AMB陶瓷基板、HTCC陶瓷基板、LTCC陶瓷基板等;根據(jù)層數(shù)可以分為單、雙面陶瓷基板、多層陶瓷基板。陶瓷基板具備良好的綜合電氣性能,陶瓷基片更多是作為基底,支撐和散熱、絕緣作用。

8d39f582-aaf8-11ee-8b88-92fbcf53809c.png

陶瓷電路板應(yīng)用范圍

陶瓷電路板可應(yīng)用于LED,大功率功率半導(dǎo)體模塊,半導(dǎo)體冷卻器,電子加熱器,功率控制電路,功率混合電路,智能功率組件,高頻開關(guān)電源,固態(tài)繼電器,汽車領(lǐng)域在電子,通信,航空航天和軍用電子元件等領(lǐng)域,可以說(shuō)占據(jù)了電子工業(yè)的大部分領(lǐng)域,無(wú)形也促進(jìn)了電子工業(yè)的發(fā)展。

陶瓷基板是指銅箔在高溫下直接鍵合到氧化鋁(Al2O3)或氮化鋁(AlN)陶瓷基片表面( 單面或雙面)上的特殊工藝板。所制成的超薄復(fù)合基板具有優(yōu)良電絕緣性能,高導(dǎo)熱特性,優(yōu)異的軟釬焊性和高的附著強(qiáng)度,并可像PCB板一樣能刻蝕出各種圖形,具有很大的載流能力。因此,陶瓷基板已成為大功率電力電子電路結(jié)構(gòu)技術(shù)和互連技術(shù)的基礎(chǔ)材料。

按制造工藝分類:陶瓷基板主要分為平面陶瓷基板和三維陶瓷基板兩大類。 主要的平面陶瓷基板工藝可分為薄膜陶瓷基板(TFC)、厚膜印刷陶瓷基板(TPC)、直接鍵合銅陶瓷基板(DBC)、活性金屬焊接陶瓷基板(AMB)、直接電鍍銅陶瓷基板(DPC)。 主要的三維陶瓷基板分為高溫共燒陶瓷基板(HTCC)和低溫共燒陶瓷基板(LTCC)。

8d613336-aaf8-11ee-8b88-92fbcf53809c.png

圖片來(lái)源:清風(fēng)資本 現(xiàn)階段較普遍的陶瓷散熱基板種類有:HTCC,LTCC,DBC,DPC,AMB等。

8d75b50e-aaf8-11ee-8b88-92fbcf53809c.png

HTCC(High Temperature Co-fired Ceramic,高溫共燒陶瓷):屬于較早發(fā)展的技術(shù),是采用陶瓷與高熔點(diǎn)的W、Mo等金屬圖案進(jìn)行共燒獲得的多層陶瓷基板。但由于燒結(jié)溫度較高使其電極材料的選擇受限,且制作成本相對(duì)昂,促使了LTCC的發(fā)展。封裝工藝圖如下:

8d8919d2-aaf8-11ee-8b88-92fbcf53809c.png

LTCC(Low Temperature Co-fired Ceramic,低溫共燒陶瓷):LTCC技術(shù)共燒溫度降至約850℃,通過(guò)將多個(gè)印有金屬圖案的陶瓷膜片堆疊共燒,實(shí)現(xiàn)電路在三維空間布線。工裝工藝示意圖如下:

8d9e2570-aaf8-11ee-8b88-92fbcf53809c.jpg

LTCC在無(wú)源集成領(lǐng)域優(yōu)勢(shì)突出,廣泛用于3C、通信、汽車、軍工等市場(chǎng)。它可以實(shí)現(xiàn)三大無(wú)源器件(電阻、電容、電感)及其各種無(wú)源器件(如濾波器、變壓器等)封裝于多層布線基板中,并與有源器件(如功率MOS、晶體管、IC模塊等)共同集成為完整的電路系統(tǒng)(如SiP)?,F(xiàn)已廣泛應(yīng)用于各種制式的手機(jī)、藍(lán)牙、GPS模塊、WLAN模塊、WIFI模塊等;此外,由于其產(chǎn)品的高可靠性,在汽車電子、通訊、航空航天與軍事、微機(jī)電系統(tǒng)、傳感器技術(shù)等領(lǐng)域的應(yīng)用也日益上升。 DPC(Direct Plating Copper,直接鍍銅):是在陶瓷薄膜工藝加工基礎(chǔ)上發(fā)展起來(lái)的陶瓷電路加工工藝。以陶瓷作為線路的基板,采用濺鍍工藝于基板表面復(fù)合金屬層,并以電鍍和光刻工藝形成電路。封裝工藝如下2種:

8da1d030-aaf8-11ee-8b88-92fbcf53809c.png

DBC(Direct Bonded Copper,直接覆銅):通過(guò)熱熔式粘合法,在高溫下將銅箔直接燒結(jié)到Al2O3和AlN陶瓷表面而制成復(fù)合基板。封裝工藝如下

8db50d58-aaf8-11ee-8b88-92fbcf53809c.png

AMB(Active Metal Brazing,活性金屬釬焊):AMB是在DBC技術(shù)的基礎(chǔ)上發(fā)展而來(lái)的,在 800℃左右的高溫下,含有活性元素 Ti、Zr 的 AgCu 焊料在陶瓷和金屬的界面潤(rùn)濕并反應(yīng),從而實(shí)現(xiàn)陶瓷與金屬異質(zhì)鍵合。

8dcfb63a-aaf8-11ee-8b88-92fbcf53809c.png

綜上述五大工藝種中,HTCCLTCC都屬于燒結(jié)工藝,成本都會(huì)較高。而DBC與DPC則為國(guó)內(nèi)近年來(lái)才開發(fā)成熟,且能量產(chǎn)化的專業(yè)技術(shù),DBC是利用高溫加熱將Al2O3與Cu板結(jié)合,其技術(shù)瓶頸在于不易解決Al2O3與Cu板間微氣孔產(chǎn)生之問(wèn)題,這使得該產(chǎn)品的量產(chǎn)能量與良率受到較大的挑戰(zhàn),而DPC技術(shù)則是利用直接鍍銅技術(shù),將Cu沉積于Al2O3基板之上,其工藝結(jié)合材料與薄膜工藝技術(shù),其產(chǎn)品為近年最普遍使用的陶瓷散熱基板。然而其材料控制與工藝技術(shù)整合能力要求較高,這使得跨入DPC產(chǎn)業(yè)并能穩(wěn)定生產(chǎn)的技術(shù)門檻相對(duì)較高。 與傳統(tǒng)產(chǎn)品相比,AMB陶瓷基板是靠陶瓷與活性金屬焊膏在高溫下進(jìn)行化學(xué)反應(yīng)來(lái)實(shí)現(xiàn)結(jié)合,因此其結(jié)合強(qiáng)度更高,可靠性更好,極適用于連接器或?qū)?a href="http://www.ttokpm.com/tags/電流/" target="_blank">電流承載大、散熱要求高的場(chǎng)景。尤其是新能源汽車、軌道交通、風(fēng)力發(fā)電、光伏、5G通信等對(duì)性能要求苛刻的電力電子及大功率電子模塊對(duì)AMB陶瓷覆銅板需求巨大。 按照材料分類:陶瓷基板主要材料包括氧化鈹(BeO)、氧化鋁(Al2O3)、氮化鋁(AlN)和氮化硅(Si3N4)等。

8dd3660e-aaf8-11ee-8b88-92fbcf53809c.png

陶瓷粉體是影響陶瓷基板物理、力學(xué)性能的關(guān)鍵因素。粉體的純度、粒度、物相、氧含量等會(huì)對(duì)陶瓷基板的熱導(dǎo)率、力學(xué)性能產(chǎn)生重要影響,其特性也決定了基板成型工藝、燒結(jié)工藝的選擇。 BeO陶瓷具有較高的熱導(dǎo)率,但是其毒性和高生產(chǎn)成本限制了它的生產(chǎn)和應(yīng)用。 Al2O3陶瓷基板因其價(jià)格低廉、耐熱沖擊性好已被廣泛應(yīng)用,但因其熱導(dǎo)率相對(duì)較低和熱膨脹率不匹配的問(wèn)題,已無(wú)法完全滿足功率器件向大功率、小型化方向發(fā)展的趨勢(shì)。 AlN和Si3N4陶瓷基板在膨脹系數(shù)及熱導(dǎo)率方面的優(yōu)勢(shì)被認(rèn)為是未來(lái)的發(fā)展方向。Si3N4的撓曲強(qiáng)度更是得到大幅改善, 設(shè)計(jì)師們也因此而受益;其斷裂韌性甚至超過(guò)了氧化鋯摻雜陶瓷,在 90 W/mK 的熱導(dǎo)率下達(dá)到了6.5~7 MPa/√m。

3、引線框架

引線框架主要由兩部分組成:芯片焊盤(die paddle)和引腳(lead finger)。作為集成電路的芯片載體,引線框架是一種借助于鍵合材料(金絲、鋁絲、銅絲)使芯片內(nèi)部電路引出端(鍵合點(diǎn))通過(guò)內(nèi)引線與外引線的電氣連接,形成電氣回路的關(guān)鍵結(jié)構(gòu)件,它起到了和外部導(dǎo)線連接的橋梁作用。

在集成電路中,引線框架和封裝材料起著固定芯片,保護(hù)內(nèi)部元件,傳遞電信號(hào)并向外散發(fā)元件熱量的作用。 引線框架材料應(yīng)滿足以下特性: ①導(dǎo)熱導(dǎo)電性能好,能夠降低電容、電感引起的不利效應(yīng),也利于散熱; ②低熱膨脹系數(shù),良好的匹配性、釬焊性、耐蝕性、熱耐性和耐氧化性,電鍍性好; ③足夠的強(qiáng)度,剛度和成型性。一般抗拉強(qiáng)度要大于450MPa,延伸率大于4%; ④平整度好,殘余應(yīng)力?。?⑤易沖裁加工,且不起毛刺; ⑥成本低,可滿足大規(guī)模商業(yè)化應(yīng)用的要求。

引線框架同樣可以根據(jù)多種方式分類。根據(jù)所應(yīng)用半導(dǎo)體產(chǎn)品的不同可以分為集成電路引線框架和分立器件引線框架兩大類。集成電路應(yīng)用范圍廣,有DIP、SOP、QFP、BGA、CSP等多種封裝方式;分立器件主要是各種晶體管,封裝上大都采用TO、SOT等封裝方式。根據(jù)生產(chǎn)工藝不同可分為沖壓型和蝕刻型。按照國(guó)際生產(chǎn)經(jīng)驗(yàn),100腳位以上主要采用蝕刻型,100腳位以下主要采用沖壓型。沖壓引線框架通過(guò)使用模具靠機(jī)械力作用對(duì)金屬材料進(jìn)行沖切,形成復(fù)雜電路圖案,生產(chǎn)成本較低,但加工精度有限,無(wú)法滿足高密度封裝要求。因此,對(duì)于微細(xì)線寬與間距所用的引線框架通常只能通過(guò)蝕刻方法加工而成,主要采用光刻及溶解金屬的化學(xué)試劑從金屬條帶上蝕刻出圖案。

8dd747b0-aaf8-11ee-8b88-92fbcf53809c.png

沖制成型生產(chǎn)工藝主要包括三個(gè)環(huán)節(jié):精密模具及噴鍍模制作、高速帶料精密沖制和高速選擇性電鍍、切斷校平等。根據(jù)生產(chǎn)經(jīng)驗(yàn),引腳數(shù)少于 100 pin 的引線框架適合采用沖制型生產(chǎn)工藝。

蝕刻法生產(chǎn)工藝主要分為貼膜制備和蝕刻成型兩大步驟。

主要采用光刻及金屬溶解的化學(xué)試劑從金屬條帶上蝕刻出圖形。大體可分為以下步驟:

沖壓定位孔→雙面涂光刻膠→ UV通過(guò)掩模版曝光、顯影、固化→通過(guò)化學(xué)試劑腐蝕暴露金屬(通常使用FeCl3等試劑)→去除光刻膠

蝕刻法特點(diǎn):設(shè)備成本低、框架成本較高、生產(chǎn)周期短。

蝕刻引線框架是通用集成電路封裝材料,此外還有一種柔性引線框架。兩者均屬于引線框架,不同的是蝕刻引線框架是通用集成電路封裝材料(是集成電路QFN/DFN封裝形式中的關(guān)鍵材料,下游應(yīng)用領(lǐng)域較廣),柔性引線框架是智能卡芯片的專用封裝材料(有國(guó)際規(guī)范標(biāo)準(zhǔn)),主要起到保護(hù)安全芯片及作為芯片和外界刷卡設(shè)備之間的通訊接口的作用,二者的相同之處是生產(chǎn)工藝類似。

芯片在引線框架內(nèi)與環(huán)氧樹脂接觸置于引線框架上,通過(guò)鍵合絲與引線框架引腳連接,外部加蓋模塑料進(jìn)行保護(hù)。根據(jù)華經(jīng)產(chǎn)業(yè)研究院數(shù)據(jù),引線框架上游原材料成本占比中,銅帶占46%、化學(xué)材料占27%、白銀占2%,銅帶是引線框架最重要的上游原材料。

8de96346-aaf8-11ee-8b88-92fbcf53809c.png

鍍層材料的選擇

框架材料在完成成型加工后,要進(jìn)行框架表面處理,目的是使框架防止銹蝕,增加粘結(jié)性和可焊性。鍍層材料要比框架基體具有更好的抗腐蝕性,要致密,無(wú)空洞,有強(qiáng)度保證不在后期工序中開裂,防止氧化。

一般的鍍層工藝不會(huì)在整個(gè)框架上涂鍍層,在框架芯片焊盤和內(nèi)引腳上鍍銀,增加粘結(jié)性和可焊性。

為解決銅合金的氧化問(wèn)題,可在表面鍍一層高分子材料,特種高分子材料在一定溫度下會(huì)發(fā)生分解揮發(fā),保證了框架的抗腐蝕性又不會(huì)影響到材料的可靠性以及與其他材料的粘結(jié)性。

較大尺寸封裝,可以用聚合物帶狀材料增強(qiáng)框架的機(jī)械強(qiáng)度,起到降低塑封材料流動(dòng)時(shí)引線掛斷或者芯片移位等問(wèn)題,用于增加框架的機(jī)械強(qiáng)度。

聚合物帶狀材料的技術(shù)壁壘在于:必須經(jīng)受住高溫工藝,包括成型操作、后固化及接下來(lái)的溫度循環(huán)和器件可靠性測(cè)試,一般用的比較多的是聚酰亞胺膜(提示:此處為技術(shù)壁壘及一般可用材料)。

4、鍵合絲

鍵合是集成電路生產(chǎn)中的一步重要工序,是把電路芯片與引線框架連接起來(lái)的操作。鍵合絲是半導(dǎo)體器件和集成電路組裝吋為使芯片內(nèi)電路的輸入/輸出鍵合點(diǎn)與引線框架的內(nèi)接觸點(diǎn)之問(wèn)實(shí)現(xiàn)電氣鏈接而使用的微細(xì)金屬絲內(nèi)引線。鍵合效果的好壞直按影響集成電路的性能。鍵合絲是整體IC封裝材料市場(chǎng)五大類基本材料之一是一種具備優(yōu)異電器、導(dǎo)熱、機(jī)械性能并且化學(xué)穩(wěn)定性極好的內(nèi)列線材料,是制造集成電路及分立器件的重耍結(jié)構(gòu)材料。半導(dǎo)體封裝用鍵合金絲(Cold bonding wire)是封裝行業(yè)的基礎(chǔ)材料之一,它決定著集成電路的發(fā)展水平,因此,鍵合金絲一直是國(guó)家規(guī)劃中高技術(shù)產(chǎn)業(yè)工程重大專項(xiàng)。隨著國(guó)內(nèi)半導(dǎo)體封裝技術(shù)的發(fā)展和產(chǎn)品升級(jí)換代 ,鍵合金絲的生產(chǎn)能力和技術(shù)水平也在不斷提高。

8e01b856-aaf8-11ee-8b88-92fbcf53809c.png

鍵合絲是芯片內(nèi)電路輸入輸出連接點(diǎn)與引線框架的內(nèi)接觸點(diǎn)之間實(shí)現(xiàn)電氣連接的微細(xì)金屬絲,直徑為十幾微米到幾十微米。鍵合絲是半導(dǎo)體器件和集成電路組裝四大必須基礎(chǔ)材料之一,作為芯片與引線框架之間內(nèi)引線,實(shí)現(xiàn)穩(wěn)定、可靠的電連接,廣泛應(yīng)用于集成電路、分立器件、光電器件和功率器件的封裝。

從產(chǎn)業(yè)鏈來(lái)看上游原料主要為金、銀、銅、鋁等金屬,中游為鍵合絲生產(chǎn),下游應(yīng)用為集成電路和分立器件等。根據(jù)材質(zhì)不同,分為非合金絲和合金絲,非合金絲包括金絲、 銀絲、 銅絲、 鋁絲;合金絲包括鍍金銀線、鍍銅鍵合絲。黃金化學(xué)性能優(yōu)異,由其制成的鍵合金絲延展性好、導(dǎo)電性能佳、可靠性高,是使用最早、用量最大的一類,但因成本較高,鍵合銅絲市占率逐漸提升。

金絲是引線鍵合使用最多的導(dǎo)電絲材料,主要有以下幾方面要求:①機(jī)械強(qiáng)度:能承受樹脂封裝時(shí)所產(chǎn)生的沖擊,具有規(guī)定的拉斷負(fù)荷和延伸率;②成球特性好:③接合性:表面無(wú)劃疵、臟污、塵埃及其他粘附物,使金絲與半導(dǎo)體芯片之間、金絲與引線框架之間有足夠的接合強(qiáng)度;④作業(yè)性:不粘絲、直徑精度要高.表面無(wú)卷曲現(xiàn)象:⑤焊接時(shí)焊點(diǎn)沒(méi)有波紋。

8e1fa3e8-aaf8-11ee-8b88-92fbcf53809c.png

鍵合絲純度要求高,其生產(chǎn)工藝大致分為:(1)精煉,主要進(jìn)行化學(xué)濕式精練和電解提純;(2)熔解及鑄造,通過(guò)在高頻率熔爐中熔解精制并拉伸鑄造;(3)拉絲,通過(guò)一定大小凹槽的dies,按階段縮小直徑;(4)熱處理,通過(guò)加熱調(diào)整鍵合絲的載荷;(5)卷線,需要根據(jù)客戶要求卷線熱處理后的鍵合絲。隨著芯片集成度越來(lái)越高,鍵合絲直徑將有望向超細(xì)化方向發(fā)展。

1)鍵合金絲:因其獨(dú)特的金屬化學(xué)穩(wěn)定性和極具作業(yè)效率的工藝應(yīng)用優(yōu)勢(shì),仍占據(jù)高端市場(chǎng),目前主要應(yīng)用于高端IC產(chǎn)品、軍品器件模塊、LED大功率照明產(chǎn)品、LED電視手機(jī)背光產(chǎn)品、光通訊模塊、紅外接收發(fā)射管以及攝像頭模組產(chǎn)品等;金絲成本較高。

2)鍵合銅絲系列產(chǎn)品:在多年前就已經(jīng)在半導(dǎo)體分立器件封裝上完全取代了鍵合金絲產(chǎn)品,并且在通用集成電路封裝上也逐漸成為主流、LED顯示屏用RGB產(chǎn)品也開始普及應(yīng)用。銅絲易氧化、硬度高,鍵合過(guò)程中容易造成芯片的損傷;

3)鍍鈀銅絲系列產(chǎn)品:作為銅絲產(chǎn)品基礎(chǔ)上的衍生產(chǎn)品,因其更高的抗腐蝕性能和優(yōu)良的二焊特性也開始成為鍵合絲的主流。隨著工藝的成熟,在集成電路和LED封裝產(chǎn)品上占有份額越來(lái)越大。未來(lái)隨著技術(shù)的進(jìn)一步成熟、行業(yè)降本訴求的增強(qiáng),以及LED封裝產(chǎn)品應(yīng)用越來(lái)越普及,鍵合銅絲和鍍鈀銅絲的份額仍會(huì)繼續(xù)擴(kuò)大。

4)鍵合銀絲(銀合金絲):因其良好的鍵合性能和成本優(yōu)勢(shì),在各類LED光源器件產(chǎn)品以及部分小型扁平式IC封裝產(chǎn)品應(yīng)用上推進(jìn)速度很快。隨著技術(shù)成熟和產(chǎn)品應(yīng)用工藝的不斷優(yōu)化,市場(chǎng)應(yīng)用會(huì)越來(lái)越廣泛,特別是在小功率LED光源器件產(chǎn)品上將會(huì)逐步占據(jù)主導(dǎo)地位;銀絲抗拉強(qiáng)度低,高速鍵合條件下易斷線,同時(shí)高溫鍵合過(guò)程中還存在易氧化和 Ag+ 遷移等。

5)鍵合鋁絲系列:可分為純鋁絲和硅鋁絲兩大類型,主要應(yīng)用于功率半導(dǎo)體器件(IGBT、MOSFET、UPS、功率三極管)及LED數(shù)碼管產(chǎn)品、COB面光源上,隨著軌道交通、高鐵動(dòng)力、航空航天、船舶驅(qū)動(dòng)、智能電網(wǎng)、新能源、交流變頻、風(fēng)力發(fā)電、電動(dòng)汽車等強(qiáng)電控制產(chǎn)業(yè)的興起,被譽(yù)為功率器件第三次技術(shù)革命代表性產(chǎn)品IGBT產(chǎn)品被廣泛應(yīng)用。硅鋁絲產(chǎn)品除了在傳統(tǒng)數(shù)碼管產(chǎn)品及部分軟包封集成電路上一直使用外,近兩年隨著電動(dòng)汽車產(chǎn)業(yè)的發(fā)展,全數(shù)碼顯示汽車儀表總成也成為硅鋁絲產(chǎn)品新的市場(chǎng)應(yīng)用方向。另外,鍵合鋁絲也因其接合性好,耐濕性高的特點(diǎn)近年來(lái)也開始在存儲(chǔ)卡產(chǎn)品上獲得越來(lái)越多的應(yīng)用。近年來(lái),在某些高端領(lǐng)域產(chǎn)品上,一種性能更好的新型鋁帶產(chǎn)品也開始普及應(yīng)用,成為傳統(tǒng)鋁絲產(chǎn)品的有力替代者。

5、芯片粘結(jié)材料

芯片粘結(jié)材料是采用粘結(jié)技術(shù)實(shí)現(xiàn)芯片與底座或封裝基板連接的材料,在物理化學(xué)性能上要滿足機(jī)械強(qiáng)度高、化學(xué)性能穩(wěn)定、導(dǎo)電導(dǎo)熱、低固化溫度和可操作性強(qiáng)的要求。主要包括芯片粘接膠水(die attach paste,DAP)、非導(dǎo)電芯片粘接薄膜(non-conductive die attach film,DAF)以及導(dǎo)電芯片粘接薄膜(conductive die attach film,CDAF) 等,就技術(shù)門檻來(lái)說(shuō),由高到低的順序依次為CDAF、DAF和DAP。此外,焊球與助劑也可以列入芯片粘結(jié)材料。

8e3a3c26-aaf8-11ee-8b88-92fbcf53809c.jpg

其中環(huán)氧導(dǎo)電膠粘劑主要是由基體樹脂和導(dǎo)電填料構(gòu)成,加入固化劑及其他助劑,如增塑劑、稀釋劑、偶聯(lián)劑等。環(huán)氧導(dǎo)電膠是各向同性的熱固性導(dǎo)電膠,其粘接性能穩(wěn)定,工藝成本較低,是芯片粘接的重要材料。環(huán)氧樹脂綜合性能優(yōu)異,在熱固性導(dǎo)電膠中應(yīng)用廣泛。環(huán)氧導(dǎo)電膠是以環(huán)氧樹脂為基體,導(dǎo)電填料、固化劑和其他助劑構(gòu)成。

基體樹脂

基體樹脂主要提供導(dǎo)電膠粘接和機(jī)械性能,發(fā)生固化反應(yīng)后會(huì)使聚合物體積變小,形成穩(wěn)定的交叉網(wǎng)狀空間結(jié)構(gòu),將芯片與基底粘接在一起,并保持較高的粘接強(qiáng)度,可有效地為芯片提供支撐作用[1]。

環(huán)氧樹脂作為導(dǎo)電膠的基體材料,其形式多樣、固化方便、黏附力強(qiáng)、收縮率低、電性能好、化學(xué)穩(wěn)定性高且耐霉菌[2]。環(huán)氧樹脂具有活性環(huán)氧基,具有機(jī)械性能好、粘接性好、耐腐蝕、耐高溫、強(qiáng)度高、加工簡(jiǎn)單等優(yōu)點(diǎn),是產(chǎn)生粘接強(qiáng)度的主要成分。導(dǎo)電膠的機(jī)械性能以及粘接性能主要由基體樹脂所決定[3]。但環(huán)氧樹脂耐熱性差且韌性較差,在高溫下電阻不穩(wěn)定,通過(guò)適當(dāng)?shù)母男钥梢蕴岣攮h(huán)氧樹脂的性能,大大增加了其應(yīng)用范圍。提高環(huán)氧樹脂基體性能主要有兩種方法:一種是開發(fā)新的環(huán)氧樹脂,另一種是對(duì)現(xiàn)有環(huán)氧樹脂進(jìn)行改性[4]。

導(dǎo)電填料

目前市場(chǎng)中所使用的導(dǎo)電膠多為填料型導(dǎo)電膠,導(dǎo)電填料提供導(dǎo)電性能[5],填充在基體樹脂中形成穩(wěn)定的導(dǎo)電通路。導(dǎo)電膠要求導(dǎo)電顆粒具有良好的電導(dǎo)率、熱導(dǎo)率及適中的價(jià)格。導(dǎo)電填料可分為金屬、導(dǎo)電有機(jī)物和碳[2],常用的導(dǎo)電填料顆粒多為電阻率較低的金、銀、銅、鎳等金屬。

固化劑

固化劑是粘接劑中必不可少的部分,用來(lái)使環(huán)氧樹脂固化。固化劑與環(huán)氧樹脂發(fā)生固化反應(yīng),形成空間網(wǎng)狀結(jié)構(gòu)。固化劑一般帶有可以打開環(huán)氧基的官能團(tuán),因此可選擇胺類、咪唑類等化合物作為固化劑。導(dǎo)電膠經(jīng)過(guò)固化后會(huì)產(chǎn)生導(dǎo)電性能,使連接的材料之間形成導(dǎo)電回路[1]。

其他助劑

除了基體樹脂、固化劑、導(dǎo)電填料等導(dǎo)電膠必須的材料以外,導(dǎo)電膠中還會(huì)添加偶聯(lián)劑、稀釋劑等其他助劑。偶聯(lián)劑是一種表面改性劑,可以改善填充劑的分散性并提高加工性能,通常選用的偶聯(lián)劑有硅烷等。稀釋劑用來(lái)保證基體樹脂可以正常流動(dòng),防止體系黏度過(guò)高導(dǎo)致在低溫下發(fā)生固化反應(yīng),通常選擇的稀釋劑有環(huán)氧丙烷、醇類化合物等。

6、包封材料

包封材料,顧名思義,就是起包封、保護(hù)作用的外殼材料,主要功能為保護(hù)半導(dǎo)體芯片不受外界環(huán)境(水汽、溫度、污染等)的影響,并實(shí)現(xiàn)導(dǎo)熱、絕緣、耐濕、耐壓、支撐等復(fù)合功能。目前半導(dǎo)體主要的包封材料為塑料(包括熱固性樹脂和熱塑性樹脂),其具有良好的絕緣性能和機(jī)械強(qiáng)度,是目前應(yīng)用最廣泛的半導(dǎo)體封裝材料之一。此外,有的文章也將底部填充材料(Underfill)作為包封材料的一種。底部填充料最先是針對(duì)FC封裝,bump連接后,通過(guò)底部填充料可以緩解芯片、Bump和基板之間的熱膨脹系數(shù)不匹配產(chǎn)生的內(nèi)應(yīng)力,分散芯片正面承載的應(yīng)力,同時(shí)保護(hù)焊球、提高芯片的抗跌落性、熱循環(huán)可靠性。

8e54878e-aaf8-11ee-8b88-92fbcf53809c.jpg

底部填充(Underfill)介紹

Underfill是指在集成電路芯片(Die)與芯片封裝基板(Substrate)或其它芯片亦或轉(zhuǎn)接板(Interposer)之間填充高分子(樹脂)基復(fù)合材料進(jìn)而提高封裝穩(wěn)定性的技術(shù)。

其中芯片與芯片或芯片與轉(zhuǎn)接板的連接主要用于系統(tǒng)級(jí)芯片(System on chip,SoC)或系統(tǒng)級(jí)封裝(System in package, SiP)等3D封裝中。

Underfill材料應(yīng)用的基本原理是通過(guò)其填充在芯片底部并經(jīng)加熱固化后形成牢固的粘接層和填充層,降低芯片與基板之間因熱膨脹系數(shù)差異所造成的熱應(yīng)力失配,提高器件結(jié)構(gòu)強(qiáng)度和可靠性,增強(qiáng)芯片和基板間的抗跌落性能。


Underfill材料主要由有機(jī)粘合劑、填料、固化劑、催化劑、偶聯(lián)劑、潤(rùn)濕劑、阻燃劑、消泡劑以及其它添加劑組成。

自u(píng)nderfill在工業(yè)生產(chǎn)中廣泛應(yīng)用以來(lái),已經(jīng)發(fā)展出幾種典型的underfill,包括毛細(xì)流動(dòng)型底部填充膠(Capillary Underfill,CUF)、非流動(dòng)型底部填充膠(No-Flow Underfill,NUF)、晶圓級(jí)底部填充膠(Wafer-Level Underfill, WLUF)及模塑底部填充膠(Molded Underfill,MUF)。

每種underfill材料在應(yīng)用上都各有其優(yōu)缺點(diǎn),所以在填充過(guò)程中要需要根據(jù)產(chǎn)品的特點(diǎn)和性能要求選用合適的underfill及相應(yīng)的填充工藝。

1)毛細(xì)流動(dòng)型底部填充膠(CUF)

CUF是最早出現(xiàn)的一類underfill,它是利用毛細(xì)作用流動(dòng)填充芯片與底板間隙的一種低黏度填充膠。

CUF在FC封裝回流焊接后進(jìn)行填充固化,完整的工藝過(guò)程包括:助焊劑涂覆→芯片放置→加熱回流→助焊劑清理→流動(dòng)填充→加熱固化。 CUF是最早應(yīng)用于電子封裝的一種underfill。目前仍占據(jù)市場(chǎng)主流,應(yīng)用范圍很廣,幾乎面向各個(gè)層次的封裝,如FC、CSP、BGA封裝。但由于使用CUF時(shí),工藝上多出了流動(dòng)填充和加熱固化的步驟,因而生產(chǎn)效率不高,另外毛細(xì)流動(dòng)通常較慢且不夠充分,從而導(dǎo)致固化后的underfill基體中出現(xiàn)空洞,還會(huì)出現(xiàn)填料在樹脂體系中分布不均的現(xiàn)象,隨著芯片尺寸的增大及焊點(diǎn)尺寸的減小,這種現(xiàn)象愈發(fā)嚴(yán)重。

2)非流動(dòng)型底部填充膠(NUF)

NUF是基于摩托羅拉(Motorola)公司于1992年發(fā)展的助焊劑和底部填充膠集成專利技術(shù)(Integrated flux and underfill)啟發(fā)而發(fā)展起來(lái),NUF填充固化工藝比CUF要簡(jiǎn)單,主要包括:underfill涂覆→芯片放置→回流焊接和固化。 與CUF相比,NUF工藝步驟減少,生產(chǎn)效率高。實(shí)現(xiàn)NUF這種新填充工藝的兩個(gè)關(guān)鍵要素在于:潛性固化能力和固有助焊能力。NUF工藝的特性需要underfill有足夠的反應(yīng)潛伏期來(lái)保持低粘度,直至形成互連焊點(diǎn)。 由于NUF的固化和互連焊點(diǎn)的形成是在一個(gè)工序里完成,因此助焊劑是NUF中必不可少的成分。使用助焊劑是為了在回流過(guò)程中去除材料表面的氧化物,提高焊料的潤(rùn)濕性;同時(shí),助焊劑在回流階段應(yīng)避免產(chǎn)生揮發(fā)性物質(zhì),保證填充穩(wěn)定性。

NUF幾乎可用于所有封裝層次,由于NUF將傳統(tǒng)underfill底部填充工藝上的流動(dòng)填充、助焊劑涂覆清理、焊料回流、underfill固化簡(jiǎn)化成一個(gè)工藝步驟,大大提高了生產(chǎn)效率;但由于NUF填料少、熱膨脹系數(shù)比較高,固化物常有氣泡和空洞等問(wèn)題,其尚未成為市場(chǎng)主流產(chǎn)品。

3)晶圓級(jí)底部填充膠(WLUF)

由于NUF工藝需要先在基板上涂敷underfill,這與表面貼裝技術(shù)(Surface Mounted Technology, SMT)并不完全兼容。針對(duì)此問(wèn)題后來(lái)發(fā)展出了與SMT兼容的WLUF工藝,該工藝以其低成本、高可靠性而獲得了成功應(yīng)用。

WLUF工藝首先在有凸點(diǎn)或無(wú)凸點(diǎn)的晶圓片上采用印制或涂敷添加一層underfill,然后進(jìn)行部分固化。對(duì)于尚未制作凸點(diǎn)的晶圓,則需在劃片前制作凸點(diǎn),然后再進(jìn)行劃片。每單個(gè)芯片均可以通過(guò)標(biāo)準(zhǔn)的SMT工藝實(shí)現(xiàn)與基板的互連。 與NUF相同,WLUF也要求含有適當(dāng)?shù)闹竸?,填料含量很少甚至沒(méi)有,以達(dá)到100%的焊點(diǎn)連通率。此外,WLUF固化物需要一定的透明度以防晶圓的切割線模糊不清;WLUF需要良好的激光可加工性,便于劃片切割和打孔;此外,WLUF需要有低的介電常數(shù)和熱膨脹系數(shù)來(lái)更好地實(shí)現(xiàn)應(yīng)力均勻分布。

由于WLUF在芯片放置之前就已經(jīng)將部分固化的WLUF預(yù)涂覆在裸芯片上,完全適用于標(biāo)準(zhǔn)FC設(shè)備,大大提高了生產(chǎn)效率。但由于幾乎不含填料,還需要解決WLUF熱疲勞穩(wěn)定性問(wèn)題。由于工藝過(guò)程的限制,WLUF只適用于FC封裝。

4)模塑底部填充膠(MUF)

MUF是可以向模具直接注入,將包括芯片和底板間隙的整個(gè)器件進(jìn)行封裝保護(hù)的一種underfill材料。MUF直接將底部填充和二次成型(Over molding)封裝在一個(gè)工藝步驟里完成,可降低成本,大大提高生產(chǎn)效率。MUF不僅填充芯片與基板之間的間隙,同時(shí)還包覆整個(gè)芯片并提高了器件力學(xué)穩(wěn)定性。

MUF特別適用于倒裝芯片封裝,能夠提高生產(chǎn)效率。據(jù)報(bào)道,MUF工藝可將傳統(tǒng)底部填充工藝的生產(chǎn)效率提高4倍。

MUF工藝在模具設(shè)計(jì)和工藝方面與增壓底部填充類似,只是前者采用的不是只填充芯片與基板間隙的液態(tài)密封劑,而是包封整個(gè)器件的模塑化合物。 MUF封裝技術(shù)將注塑工藝和underfill相結(jié)合,可大大提高生產(chǎn)效率,降低生產(chǎn)成本,同時(shí)可顯著提高封裝器件的可靠性;MUF可以對(duì)許多小的間隙進(jìn)行填充,特別是小芯片微小間距時(shí),填充效果好,有利于電子產(chǎn)品微型化和多功能化;MUF模具填充最小間隙高度可達(dá)40um;但MUF的可返修性差。MUF一般適合FC、CSP層次的單個(gè)或多個(gè)芯片的封裝。

半導(dǎo)體的封裝根據(jù)使用的封裝基板可以分為塑料封裝(即使用有機(jī)封裝基板)、金屬封裝和陶瓷封裝。在塑料封裝中,基本都采用塑料包封材料,而在高可靠性的金屬、陶瓷封裝中,通常采用封蓋技術(shù)將芯片與互連部位保護(hù)在特性氣氛空腔內(nèi),不過(guò)在部分金屬、陶瓷封裝中也會(huì)用到塑料進(jìn)行包封。

塑料包封材料可以分為環(huán)氧塑封料及、其他一些塑料種類(如PEEK、PPS等),其中環(huán)氧塑封料是最重要的一種塑封料。環(huán)氧塑封料是由環(huán)氧樹脂(Epoxy Molding Compound,EMC)為基體樹脂,以高性能酚醛樹脂為固化劑,加入硅微粉等為填料,以及添加多種助劑混配而成的粉狀模塑料,為后道封裝的主要原材料之一。根據(jù)中國(guó)科學(xué)院上海微系統(tǒng)與信息技術(shù)研究所SIMIT戰(zhàn)略研究室公布的《我國(guó)集成電路材料專題系列報(bào)告》,90%以上的集成電路均采用環(huán)氧塑封料作為包封材料。

環(huán)氧塑封料的主要組成成分可分為聚合物、填料、添加劑三類。聚合物主要包括環(huán)氧樹脂、偶聯(lián)劑、硬化劑等;填料主要由硅微粉和氧化鋁充當(dāng);添加劑則主要包括脫模劑、染色劑、阻燃劑、應(yīng)力添加劑、粘結(jié)劑等。各種成分中占比最大的兩種為填料以及環(huán)氧樹脂。

8e6ccce0-aaf8-11ee-8b88-92fbcf53809c.jpg

在塑封過(guò)程中,封裝廠商主要采用傳遞成型法將環(huán)氧塑封料擠壓入模腔并將其中的半導(dǎo)體芯片包埋,在模腔內(nèi)交聯(lián)固化成型后成為具有特定結(jié)構(gòu)外型的半導(dǎo)體器件。

8e7b7c9a-aaf8-11ee-8b88-92fbcf53809c.png

從產(chǎn)業(yè)鏈來(lái)看,環(huán)氧塑封料的生產(chǎn)首先將原材料按照一定比例混合后,經(jīng)加熱混煉、冷卻、粉碎、后混合、打餅等形成成品,而后進(jìn)行包裝、低溫保管。其品質(zhì)主要由理化性能、工藝性能以及應(yīng)用性決定。產(chǎn)品配方直接決定了理化性能,進(jìn)而影響到工藝性能與應(yīng)用性能。下游客戶則主要對(duì)環(huán)氧塑封料產(chǎn)品的工藝性能與應(yīng)用性能進(jìn)行考核驗(yàn)證。因此,環(huán)氧塑封料廠商的研發(fā)重點(diǎn)主要系產(chǎn)品配方的完善、優(yōu)化與開發(fā),并對(duì)相關(guān)核心知識(shí)產(chǎn)權(quán)進(jìn)行專利保護(hù)。

8e8547a2-aaf8-11ee-8b88-92fbcf53809c.jpg

7、其他封裝材料

金屬基板是無(wú)機(jī)封裝基板的一種。金屬基板是指由金屬薄板、絕緣介質(zhì)層和銅箔復(fù)合制成的金屬基覆銅板,具有優(yōu)異的散熱性能、機(jī)械加工性能、電磁屏蔽性能、尺寸穩(wěn)定性能、磁力性能及多功能性,廣泛應(yīng)用于電子元器件、集成電路支承材料和熱沉(heat sinks)等方面,在功率電子、微電子、微波通信、自動(dòng)控制、電源轉(zhuǎn)換、航空航天等領(lǐng)域發(fā)揮著重要作用。

金屬基板按基材分類主要有因瓦合金(Invar,鐵-鉆-鎳合金)、可伐合金(Kovar,鐵-鎳合金)、W、Mo、A、Cu等。其中,Invar和Kovar的加工性能良好,具有較低的熱膨脹系數(shù),但導(dǎo)熱性能很差;Mo和W的熱膨脹系數(shù)較低,導(dǎo)熱性能遠(yuǎn)高于Invar和Kovar,而且強(qiáng)度和硬度很高,所以,Mo和W在電力半導(dǎo)體行業(yè)得到了普遍的應(yīng)用。但是,Mo和W價(jià)格昂貴,加工困難,可焊性差,密度大,而且導(dǎo)熱性能比純Cu要低得多,這就限制了其進(jìn)一步應(yīng)用。Cu和Al的導(dǎo)熱導(dǎo)電性能很好,可是熱膨脹系數(shù)過(guò)大,容易產(chǎn)生熱應(yīng)力問(wèn)題。

晶圓UV膜,包括晶圓UV減薄膜、晶圓UV劃片膜,皆屬于晶圓級(jí)封裝材料。晶圓UV膜是用于半導(dǎo)體制造前道工序中切割、劃片的關(guān)鍵材料之一,產(chǎn)品性能直接影響晶圓加工的良率。該材料主要用于輔助保護(hù)TSV/3D晶圓減薄工藝中的晶圓,包括粘接、保護(hù)和撿取等。這種材料需要具備機(jī)械性能平衡性、穩(wěn)定性和可靠性,以適應(yīng)晶圓生產(chǎn)工藝的高精度和大批量生產(chǎn)的需求,同時(shí)還需要能夠在高濕度和震動(dòng)等特殊工作環(huán)境中工作。

8e9b7a5e-aaf8-11ee-8b88-92fbcf53809c.png

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26312

    瀏覽量

    209966
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4742

    瀏覽量

    127276
  • 封裝技術(shù)
    +關(guān)注

    關(guān)注

    12

    文章

    523

    瀏覽量

    67913
  • 引腳
    +關(guān)注

    關(guān)注

    16

    文章

    1131

    瀏覽量

    49740
  • 封裝材料
    +關(guān)注

    關(guān)注

    1

    文章

    50

    瀏覽量

    8752

原文標(biāo)題:技術(shù)前沿:半導(dǎo)體封裝材料

文章出處:【微信號(hào):AIOT大數(shù)據(jù),微信公眾號(hào):AIOT大數(shù)據(jù)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    半導(dǎo)體材料

    半導(dǎo)體材料
    發(fā)表于 04-18 16:45

    半導(dǎo)體材料的特性與參數(shù)

    常見的類缺陷。位錯(cuò)密度用來(lái)衡量半導(dǎo)體單晶材料晶格完整性的程度,對(duì)于非晶態(tài)半導(dǎo)體材料,則沒(méi)有這
    發(fā)表于 01-28 14:58

    種新型的半導(dǎo)體節(jié)能材料

    我廠專業(yè)生產(chǎn)半導(dǎo)體加熱材料,半導(dǎo)體烘干設(shè)備,這種新型的半導(dǎo)體材料能節(jié)約能源,讓熱能循環(huán)再利用。如有需要請(qǐng)聯(lián)系我們。網(wǎng)址:www.rftxny
    發(fā)表于 04-01 13:13

    詳解半導(dǎo)體的定義及分類

    半導(dǎo)體制作的器件。半導(dǎo)體是指種導(dǎo)電性可受控制,范圍可從絕緣體至導(dǎo)體之間的材料。無(wú)論從科技或是經(jīng)濟(jì)發(fā)展的角度來(lái)看,
    發(fā)表于 11-27 22:34

    半導(dǎo)體材料那些事

    好像***最近去英國(guó)還專程看了華為英國(guó)公司的石墨烯研究,搞得國(guó)內(nèi)好多石墨烯材料的股票大漲,連石墨烯內(nèi)褲都跟著炒作起來(lái)了~~小編也順應(yīng)潮流聊聊半導(dǎo)體材料那些事吧。
    發(fā)表于 07-29 06:40

    半導(dǎo)體材料呆料

    進(jìn)口日本半導(dǎo)體材料呆料,硅含量高,其中有些硅圓片,打磨減薄后可以成為硅晶圓芯片的生產(chǎn)材料。聯(lián)系方式:沈女士(***)
    發(fā)表于 01-06 09:59

    半導(dǎo)體材料有什么種類?

    半導(dǎo)體材料從發(fā)現(xiàn)到發(fā)展,從使用到創(chuàng)新,擁有這段長(zhǎng)久的歷史。宰二十世紀(jì)初,就曾出現(xiàn)過(guò)點(diǎn)接觸礦石檢波器。1930年,氧化亞銅整流器制造成功并得到廣泛應(yīng)用,是半導(dǎo)體
    發(fā)表于 04-08 09:00

    半導(dǎo)體芯片內(nèi)部結(jié)構(gòu)詳解

    年代,當(dāng)材料的提純技術(shù)改進(jìn)以后,半導(dǎo)體才得到工業(yè)界的重視。常見的半導(dǎo)體材料有硅、鍺、砷化鎵等,而硅則是各種半導(dǎo)體
    發(fā)表于 11-17 09:42

    半導(dǎo)體材料市場(chǎng)構(gòu)成分析

    半導(dǎo)體材料市場(chǎng)構(gòu)成:在半導(dǎo)體材料市場(chǎng)構(gòu)成方面,大硅片占比最大,占比為32.9%。其次為氣體,占比為14.1%,光掩膜排名第三,占比 為12.6%,其后:分別為拋光液和拋光墊、光刻膠配套
    發(fā)表于 01-22 10:48

    半導(dǎo)體材料,半導(dǎo)體材料是什么意思

    半導(dǎo)體材料,半導(dǎo)體材料是什么意思 半導(dǎo)體材料(semiconductor material)
    發(fā)表于 03-04 10:28 ?5636次閱讀

    半導(dǎo)體封裝制程與設(shè)備材料知識(shí)簡(jiǎn)介

    半導(dǎo)體封裝制程與設(shè)備材料知識(shí)簡(jiǎn)介。
    發(fā)表于 04-09 09:52 ?185次下載

    詳解精密封裝技術(shù)

    詳解精密封裝技術(shù)
    的頭像 發(fā)表于 12-30 15:41 ?1523次閱讀

    詳解半導(dǎo)體封裝測(cè)試工藝

    詳解半導(dǎo)體封裝測(cè)試工藝
    的頭像 發(fā)表于 05-31 09:42 ?1433次閱讀
    <b class='flag-5'>詳解</b><b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>封裝</b>測(cè)試工藝

    什么是芯片封測(cè)?半導(dǎo)體測(cè)試封裝用到什么材料

    什么是芯片封測(cè)?半導(dǎo)體測(cè)試封裝用到什么材料? 芯片封測(cè)是指將半導(dǎo)體制成芯片后進(jìn)行測(cè)試和封裝,以充分發(fā)揮其性能。在
    的頭像 發(fā)表于 08-24 10:42 ?5638次閱讀

    半導(dǎo)體封裝材料全解析:分類、應(yīng)用與發(fā)展趨勢(shì)!

    在快速發(fā)展的半導(dǎo)體行業(yè)中,封裝技術(shù)作為連接芯片與外部世界的橋梁,扮演著至關(guān)重要的角色。半導(dǎo)體封裝材料作為
    的頭像 發(fā)表于 09-10 10:13 ?410次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>封裝</b><b class='flag-5'>材料</b>全解析:分類、應(yīng)用與發(fā)展趨勢(shì)!