0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

傳統(tǒng)封裝和先進封裝的區(qū)別

今日半導體 ? 來源:半導體封裝工程師之家 ? 2024-01-16 09:54 ? 次閱讀

來源:半導體封裝工程師之家

半導體器件有許多封裝形式,按封裝的外形、尺寸、結構分類可分為引腳插入型、表面貼裝型和高級封裝三類。從DIP、SOP、QFP、PGA、BGA到CSP再到SIP,技術指標一代比一代先進??傮w說來,半導體封裝經歷了三次重大革新:第一次是在20世紀80年代從引腳插入式封裝到表面貼片封裝,它極大地提高了印刷電路板上的組裝密度;第二次是在20世紀90年代球型矩陣封裝的出現(xiàn),滿足了市場對高引腳的需求,改善了半導體器件的性能;芯片級封裝、系統(tǒng)封裝等是現(xiàn)在第三次革新的產物,其目的就是將封裝面積減到最小。半導體產品在由二維向三維發(fā)展,從技術發(fā)展方向半導體產品出現(xiàn)了系統(tǒng)級封裝(SiP)等新的封裝方式,從技術實現(xiàn)方法出現(xiàn)了倒裝(FlipChip),凸塊(Bumping),晶圓級封裝(Waferlevelpackage),2.5D封裝(interposer,RDL等),3D封裝(TSV)等先進封裝技術。

wKgaomTZipSAXGRcAAMJ26BwzFs653.png

傳統(tǒng)封裝vs先進封裝

傳統(tǒng)封裝概念從最初的三極管直插時期后開始產生。傳統(tǒng)封裝過程如下:將晶圓切割為晶粒(Die)后,使晶粒貼合到相應的基板架的小島(LeadframePad)上,再利用導線將晶片的接合焊盤與基板的引腳相連(WireBond),實現(xiàn)電氣連接,最后用外殼加以保護(Mold,或Encapsulation)。典型封裝方式有DIP、SOP、TSOP、QFP等。

先進封裝主要是指倒裝(FlipChip),凸塊(Bumping),晶圓級封裝(Waferlevelpackage),2.5D封裝(interposer,RDL等),3D封裝(TSV)等封裝技術。先進封裝在誕生之初只有WLP,2.5D封裝和3D封裝幾種選擇,近年來,先進封裝的發(fā)展呈爆炸式向各個方向發(fā)展,而每個開發(fā)相關技術的公司都將自己的技術獨立命名注冊商標,如臺積電的InFO、CoWoS,日月光的FoCoS,Amkor的SLIM、SWIFT等。盡管很多先進封裝技術只有微小的區(qū)別,大量的新名詞和商標被注冊,導致行業(yè)中出現(xiàn)大量的不同種類的先進封裝,而其誕生通常是由客制化產品的驅動。

先進封裝優(yōu)勢

先進封裝提高加工效率,提高設計效率,減少設計成本。

先進封裝主要包括倒裝類(FlipChip,Bumping),晶圓級封裝(WLCSP,F(xiàn)OWLP,PLP),2.5D封裝(Interposer)和3D封裝(TSV)等。以晶圓級封裝為例,產品生產以圓片形式批量生產,可以利用現(xiàn)有的晶圓制備設備,封裝設計可以與芯片設計一次進行。這將縮短設計和生產周期,降低成本。

先進封裝提高封裝效率,降低產品成本。

隨著后摩爾定律時代的到來,傳統(tǒng)封裝已經不再能滿足需求。傳統(tǒng)封裝的封裝效率(裸芯面積/基板面積)較低,存在很大改良的空間。芯片制程受限的情況下,改進封裝便是另一條出路。舉例來說,QFP封裝效率最高為30%,那么70%的面積將被浪費。DIP、BGA浪費的面積會更多。

先進封裝以更高效率、更低成本、更好性能為驅動。

先進封裝技術于上世紀90年代出現(xiàn),通過以點帶線的方式實現(xiàn)電氣互聯(lián),實現(xiàn)更高密度的集成,大大減小了對面積的浪費。SiP技術及PoP技術奠定了先進封裝時代的開局,2D集成技術,如WaferLevelPackaging(WLP,晶圓級封裝),F(xiàn)lip-Chip(倒晶),以及3D封裝技術,ThroughSiliconVia(硅通孔,TSV)等技術的出現(xiàn)進一步縮小芯片間的連接距離,提高元器件的反應速度,未來將繼續(xù)推進著先進封裝發(fā)展的腳步。

先進封裝技術

Flip-Chip & Bumping

FlipChip指的是芯片倒裝,以往的封裝技術都是將芯片的有源區(qū)面朝上,背對基板和貼后鍵合。而FlipChip則將芯片有源區(qū)面對著基板,通過芯片上呈陣列排列的焊料凸點(Bumping)實現(xiàn)芯片與襯底的互聯(lián)。硅片直接以倒扣方式安裝到PCB從硅片向四周引出I/O,互聯(lián)長度大大縮短,減小了RC(Resistance-Capacitance)延遲,有效的提高了電性能。

FlipChip的優(yōu)勢主要在于以下幾點:小尺寸,功能增強(增加I/O數(shù)量),性能增強(互聯(lián)短),提高了可靠性(倒裝芯片可減少2/3的互聯(lián)引腳數(shù)),提高了散熱能力(芯片背面可以有效進行冷卻)。

倒裝芯片晶體貼裝技術

wKgZomTZipSAEBs4AAPynvPiRII069.png

Bumping是一種新型的芯片與基板間電氣互聯(lián)的方式。

可以通過小的球形導電材料實現(xiàn),這種導電球體被稱為Bump,制作導電球這一工序被稱為Bumping。當粘有Bump的晶粒被倒臵(Flip-Chip)并與基板對齊時,晶粒便很容易的實現(xiàn)了與基板Pad(觸墊)的連接。相比傳統(tǒng)的引線連接,F(xiàn)lip-Chip有著諸多的優(yōu)勢,比如更小的封裝尺寸與更快的器件速度。

焊球端子和柱式端子

wKgZomTZipSAE_jpAAHL0bunMhY592.png

FlipChip的關鍵一步是Bumping,可以通過在晶圓上制作外延材料來實現(xiàn)。

當芯片制作工序完成后,制造UBM(Underbumpmetallization)觸墊將被用于實現(xiàn)芯片和電路的連接,Bump也會被淀積與觸點之上。焊錫球(Solderball)是最常見的Bumping材料,但是根據(jù)不同的需求,金、銀、銅、鈷也是不錯的選擇。對于高密度的互聯(lián)及細間距的應用,銅柱是一種新型的材料。焊錫球在連接的時候會擴散變形,而銅柱會很好的保持其原始形態(tài),這也是銅柱能用于更密集封裝的原因。

FlipChip產品對應不同bumping類型增長速度不一。

根據(jù)Yole預測,采用倒裝芯片技術集成電路出貨量將保持穩(wěn)定增長,預計產能將以9.8%的復合年增長率擴張,從2014年的約合1600萬片12寸晶圓增長到2020年的2800萬片。終端應用主要為計算類芯片,如臺式機和筆記本電腦CPU、GPU和芯片組應用等。

其中鍍金晶圓凸點(Au-platedwaferbumping)將穩(wěn)定增長,由于IC顯示驅動器(4K2K超高清電視和高清晰度、大屏幕平板電腦和智能手機)的市場驅動。預計產能將以4%的復合年增長率擴大,從2014年的430萬片增長到2020年的540萬片。

金釘頭凸點(Austudbumping)產能將略有下滑,從2014年的30.4萬片降到2020年的29.3萬片,主要原因是射頻器件從倒裝芯片轉移至晶圓級芯片尺寸封裝(WLCSP)。但是,新興應用的需求將增加,如CMOS圖像傳感器模組、高亮度LED等。

中道封裝技術需求增長,將帶來行業(yè)上下游的跨界競爭。針對3DIC和2.5D中介層平臺的“中端工藝(middleend-process)”基礎設施的出現(xiàn)將使Fab和IDM受益,并在較小程度上分給OSAT。2.5D中介層平臺的發(fā)展將會產生價值的轉移,從襯底供應商轉向前端代工廠。

2.5D封裝:RDL&中介層

RDL(Redistributionlayer,再分布層):在晶圓水平上,觸點再分布可以很高效的進行。再分布層用于使連線路徑重新規(guī)劃,落到我們希望的區(qū)域,也可以獲得更高的觸點密度。再分布的過程,實際上是在原本的晶圓上又加了一層或幾層。首先淀積的是一層電介質用于隔離,接著我們會使原本的觸點裸露,再淀積新的金屬層來實現(xiàn)重新布局布線。UBM在這里會被用到,作用是支撐焊錫球或者其他材料的接觸球。

中介層(Interposer):指的是焊錫球和晶粒之間導電層。它的作用是擴大連接面,使一個連接改線到我們想要的地方。與再分布層作用類似。

中間層(Interposer)示意

wKgZomTZipSAI4RSAAGSp-_JbbE085.png

3D封裝:TSV,PoP和MEMS

TSV(Through-siliconvia,硅通孔):Bump和RDL會占用芯片接合到基板上的平面面積,TSV可以將芯片堆疊起來使三維空間被利用起來。更重要的是,堆疊技術改善了多芯片連接時的電學性質。引線鍵合可以被用于堆疊技術,但TSV吸引力更大。TSV實現(xiàn)了貫穿整個芯片厚度的電氣連接,更開辟了芯片上下表面之間的最短通路。芯片之間連接的長度變短也意味著更低的功耗和更大的帶寬。TSV技術最早在CMOS圖像傳感器中被應用,未來在FPGA、存儲器、傳感器等領域都將被應用。根據(jù)Yole預測,2016~2021年,應用TSV技術的晶圓數(shù)量將以10%的年復合增長率增長。3D存儲芯片封裝也會在將來大量的用到TSV。

3DIC和TSV技術演進路徑

wKgZomTZipSAXbN9AAOrZdiPOhU785.png

TSV技術示意圖

wKgaomTZipSAbn12AADPzRtztAA930.png

PoP(PackageonPackage,堆疊封裝):PoP是一種將分離的邏輯和存儲BGA(Ballgridarray,球狀引腳柵格陣列)包在垂直方向上結合起來的封裝技術。在這種結構中,兩層以上的封裝單元自下而上堆疊在一起,中間留有介質層來傳輸信號。PoP技術增大了器件的集成密度,底層的封裝單元直接與PCB板接觸。傳統(tǒng)的PoP是基于基板的堆疊,隨著存儲器對高帶寬的需求,球間間隔要求更小,未來將會與FOWLP技術相結合,做基于芯片的堆疊。

MEMS封裝:微機電系統(tǒng)在近些年應用越來越廣泛,隨著傳感器、物聯(lián)網應用的大規(guī)模落地,MEMS封裝也備受關注。MEMS的封裝不同與集成電路封裝,分為芯片級、模組級、卡級、板級、門級等多元垂直分級封裝,設計時也需考慮不同模組間的相互影響。目前MEMS封裝市場規(guī)模在27億美元左右,20162020年間將會維持16.7%的年復合增長率高速增長。其中RFMEMS封裝市場是主要驅動,20162020年間,年復合增長率高達35.1%。

MEMS封裝技術示意圖

wKgaomTZipSAQd89AAW_h0wdpCo207.png

在整個MEMS生態(tài)系統(tǒng)中,MEMS封裝發(fā)展迅速,晶圓級和3D集成越來越重要。主要的趨勢是為低溫晶圓鍵合等單芯片集成開發(fā)出與CMOS兼容的MEMS制造工藝。另一個新趨勢是裸片疊層應用于低成本無鉛半導體封裝,這種技術可為量產帶來更低的成本和更小的引腳封裝。但是,MEMS器件的CMOS和3D集成給建模、測試和可靠性帶來挑戰(zhàn)。

FIWLP&FOWLP,PLP

首先要提及Wafer-levelpackaging(WLP,晶圓級封裝)的概念。在傳統(tǒng)封裝概念中,晶圓是先被切割成小的晶粒,之后再進行連接和塑封。而晶圓級封裝工序恰好相反,晶圓級封裝將晶粒在被切割前封裝完成,保護層將會被附著在晶圓的正面或是背面,電路連接在切割前已經完成。

FIWLP:Fan-inWafer-levelpackaging,又稱WLCSP(Wafer-levelChipScalePackage),扇入式晶圓級封裝,也就是傳統(tǒng)的晶圓級封裝,切割晶粒在最后進行,適用于低引腳數(shù)的集成電路。隨著集成電路信號輸出的引腳數(shù)目的增加,焊錫球的尺寸也就變得越來越嚴格,PCB對集成電路封裝后尺寸以及信號輸出接腳位臵的調整需求得不到滿足,因此衍生出了扇出型晶圓級封裝。扇入晶圓級封裝的特征是封裝尺寸與晶粒同大小。

FOWLP:Fan-outWafer-levelpackaging,扇出式晶圓級封裝,開始就將晶粒切割,再重布在一塊新的人工模塑晶圓上。它的優(yōu)勢在于減小了封裝的厚度,增大了扇出(更多的I/O接口),獲得了更優(yōu)異的電學性質及更好的耐熱表現(xiàn)。

FIWLP與FOWLP用途不同,均為今后的主流封裝手段。FIWLP在模擬和混合信號芯片中用途最廣,其次是無線互聯(lián),CMOS圖像傳感器也采用FIWLP技術封裝。FOWLP將主要用于移動設備的處理器芯片中。根據(jù)Yole的預測,2018年以前FOWLP的主要驅動為蘋果智能手機的處理器芯片,2018年以后的FOWLP的主要驅動除了其他安卓手機處理器的增長,主要是高密度FOWLP在其他處理芯片的應用,如AI機器學習、物聯(lián)網等領域。

FIWLP與FOWLP技術示意圖

wKgaomTZipSAF_R2AANgzIB8uBU137.png

PLP:全稱Panel-levelpackaging,平板級封裝,封裝方法與FOWLP類似,只不過將晶粒重組于更大的矩形面板上,而不是圓形的晶圓。更大的面積意味著節(jié)約更多的成本,更高的封裝效率。而且切割的晶粒為方形,晶圓封裝會導致邊角面積的浪費,矩形面板恰恰解決了浪費問題。但也對光刻及對準提出了更高的要求。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 半導體
    +關注

    關注

    334

    文章

    26311

    瀏覽量

    209940
  • 電路板
    +關注

    關注

    140

    文章

    4810

    瀏覽量

    96088
  • BGA
    BGA
    +關注

    關注

    4

    文章

    522

    瀏覽量

    46474
  • 先進封裝
    +關注

    關注

    1

    文章

    337

    瀏覽量

    177

原文標題:【漲知識】傳統(tǒng)封裝vs先進封裝區(qū)別和分類!

文章出處:【微信號:today_semicon,微信公眾號:今日半導體】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    傳統(tǒng)封裝先進封裝區(qū)別在哪

    ? 半導體器件有許多封裝形式,按封裝的外形、尺寸、結構分類可分為引腳插入型、表面貼裝型和高級封裝三類。從DIP、SOP、QFP、PGA、BGA到CSP再到SIP,技術指標一代比一代先進
    的頭像 發(fā)表于 08-28 09:37 ?1437次閱讀
    <b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b>和<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>的<b class='flag-5'>區(qū)別</b>在哪

    HRP晶圓級先進封裝替代傳統(tǒng)封裝技術研究(HRP晶圓級先進封裝芯片)

    隨著晶圓級封裝技術的不斷提升,眾多芯片設計及封測公司開始思考并嘗試采用晶圓級封裝技術替代傳統(tǒng)封裝。其中HRP(Heat?Re-distribution?Packaging)晶圓級
    的頭像 發(fā)表于 11-30 09:23 ?1786次閱讀
    HRP晶圓級<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>替代<b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b>技術研究(HRP晶圓級<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>芯片)

    #硬聲創(chuàng)作季 先進封裝技術詳解——什么是良率?什么是晶圓級封裝?什么是2.5D封裝?

    晶圓封裝封裝技術芯片封裝
    Mr_haohao
    發(fā)布于 :2022年10月21日 10:03:33

    先進封裝四要素及發(fā)展趨勢

    芯片封裝
    電子學習
    發(fā)布于 :2022年12月10日 11:37:46

    關于LED封裝的不同,COB封裝傳統(tǒng)LED封裝區(qū)別

    COB封裝流程和SMD生產流程相差不大,但是COB封裝在點膠,分離,分光和包裝上的封裝效率要高更多,和傳統(tǒng)SMD相比可以節(jié)省5%的任何和物料費。
    發(fā)表于 02-02 15:23 ?8445次閱讀

    先進封裝對比傳統(tǒng)封裝的優(yōu)勢及封裝方式

    (Waferlevelpackage),2.5D封裝(interposer,RDL等),3D封裝(TSV)等先進封裝技術。 ▌ SoC vs.SiP ?SoC:全稱System-on-
    的頭像 發(fā)表于 10-21 11:03 ?3w次閱讀
    <b class='flag-5'>先進</b><b class='flag-5'>封裝</b>對比<b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b>的優(yōu)勢及<b class='flag-5'>封裝</b>方式

    SMT里面區(qū)別傳統(tǒng)的芯片封裝有哪些形式?

    區(qū)別傳統(tǒng)封裝形式,今天要聊的SMT(表?貼裝技術),對芯片封裝難度更大,要求更嚴,技術更嚴苛的封裝形式,包括晶圓級
    的頭像 發(fā)表于 06-27 16:42 ?2120次閱讀
    SMT里面<b class='flag-5'>區(qū)別</b>于<b class='flag-5'>傳統(tǒng)</b>的芯片<b class='flag-5'>封裝</b>有哪些形式?

    SiP與先進封裝有什么區(qū)別

    SiP系統(tǒng)級封裝(System in Package),先進封裝HDAP(High Density Advanced Package),兩者都是當今芯片封裝技術的熱點,受到整個半導體產
    的頭像 發(fā)表于 05-19 09:54 ?1637次閱讀
    SiP與<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>有什么<b class='flag-5'>區(qū)別</b>

    什么是先進封裝先進封裝傳統(tǒng)封裝區(qū)別 先進封裝工藝流程

    半導體器件有許多封裝形式,按封裝的外形、尺寸、結構分類可分為引腳插入型、表面貼裝型和高級封裝三類。從DIP、SOP、QFP、PGA、BGA到CSP再到SIP,技術指標一代比一代先進
    發(fā)表于 08-11 09:43 ?2820次閱讀
    什么是<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>?<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>和<b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b><b class='flag-5'>區(qū)別</b> <b class='flag-5'>先進</b><b class='flag-5'>封裝</b>工藝流程

    什么是先進封裝?和傳統(tǒng)封裝有什么區(qū)別?

    半導體器件有許多封裝形式,按封裝的外形、尺寸、結構分類可分為引腳插入型、表面貼裝型和高級封裝三類。從DIP、SOP、QFP、PGA、BGA到CSP再到SIP,技術指標一代比一代先進。
    的頭像 發(fā)表于 08-14 09:59 ?1617次閱讀
    什么是<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>?和<b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b>有什么<b class='flag-5'>區(qū)別</b>?

    傳統(tǒng)封裝 Vs.先進封裝區(qū)別及優(yōu)勢

    集成電路封裝是指將制備合格芯片、元件等裝配到載體上,采用適當連接技術形成電氣連接,安裝外殼,構成有效組件的整個過程,封裝主要起著安放、固定、密封、保護芯片,以及確保電路性能和熱性能等作用。
    發(fā)表于 09-26 12:41 ?5595次閱讀
    <b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b> Vs.<b class='flag-5'>先進</b><b class='flag-5'>封裝</b>的<b class='flag-5'>區(qū)別</b>及優(yōu)勢

    HRP晶圓級先進封裝替代傳統(tǒng)封裝技術研究

    近年來,隨著晶圓級封裝技術的不斷提升,眾多芯片設計及封測公司開始思考并嘗試采用晶圓級封裝技術替代傳統(tǒng)封裝。其中HRP(Heat Re-distribution Packaging)晶圓
    發(fā)表于 11-18 15:26 ?0次下載

    COB封裝傳統(tǒng)封裝區(qū)別及常見問題

    COB封裝(Chip-on-Board)是一種將芯片直接粘貼在印刷電路板(PCB)上的封裝方式,而傳統(tǒng)封裝方式通常是將芯片焊接在PCB上。
    的頭像 發(fā)表于 01-30 10:56 ?4270次閱讀
    COB<b class='flag-5'>封裝</b>與<b class='flag-5'>傳統(tǒng)</b><b class='flag-5'>封裝</b>的<b class='flag-5'>區(qū)別</b>及常見問題

    先進封裝傳統(tǒng)封裝區(qū)別

    先進封裝(Advanced Packaging)是一種新型的電子封裝技術,它旨在通過創(chuàng)新的技術手段,將多個芯片或其他電子元器件以更高的集成度、更小的尺寸、更低的功耗和更高的可靠性集成在一起。這種技術不僅提升了電子產品的性能,還滿
    的頭像 發(fā)表于 07-18 17:47 ?1601次閱讀