0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA處理編碼信號進行毛刺濾波的方法實現(xiàn)

FPGA設計論壇 ? 來源:CSDN ? 2024-02-21 14:46 ? 次閱讀

一、前言

在利用處理編碼信號時,一般在較為理想的環(huán)境下可以很方便進行計算,判斷等。但是由于有時候受到電磁干擾等環(huán)境因素,會導致編碼信號產(chǎn)生毛刺等,這時候如果不對編碼信號進行預處理而是直接進行邊緣判斷等操作則極容易導致錯誤,所以需要提前對編碼信號進行濾波。

二、濾波算法

ab68d698-d084-11ee-a297-92fbcf53809c.png

其算法思想也容易理解:如果有電平跳變,則立即進行計數(shù),如果計數(shù)值超過設定閾值,則電平跳轉(zhuǎn)有效,否則依然保持原電平不變。另外如果在計數(shù)時又發(fā)生電平跳轉(zhuǎn),則重新進行計數(shù)。濾波算法跳轉(zhuǎn)圖如下:

ab7a4fc2-d084-11ee-a297-92fbcf53809c.png

ab8b9f52-d084-11ee-a297-92fbcf53809c.png

三、代碼設計

首先我們需要確定編碼信號的毛刺信號大概時間寬度為多少,這樣我們才能設置閾值進行濾波。以Altera芯片設計為例,可以利用SigalTapII嵌入式邏輯觀測毛刺的時間寬度。

ab9fea5c-d084-11ee-a297-92fbcf53809c.png

這樣設計代碼如下即可實現(xiàn)濾波效果:

module encoder_filter (

input clk,

input A, //原始編碼信號A

output reg A_f, //濾波后的編碼信號A_f

output led, //觀測程序是否燒錄成功

output samp_clk_20us //SigalTapII中采樣時鐘

);

pll_ippll_ip_inst ( //調(diào)用PLL_IP

.inclk0 ( clk ),

.c0 ( samp_clk_20us )

);

parameter [11:0] Cnt_20us=12'd1000; //20us/20ns=1000; //毛刺的脈寬不會大于20us

parameter [1:0] S0 = 2'b00, S1 = 2'b01, S2 = 2'b10, S3 = 2'b11;

reg [1:0] state; //當前狀態(tài)

reg [2:0] A_delay; //延遲打拍

reg [11:0] count; //電平跳轉(zhuǎn)計數(shù)

reg last_level; //A的上一個電平狀態(tài)

reg A_sig_pos;

reg A_sig_neg;

reg [7:0] reset_counter=8'd0;

always@(posedge clk) //軟件不發(fā)復位信號,FPGA自己產(chǎn)生,邏輯加載起來后馬上自己復位一次。

begin

if (reset_counter != 8'h59 )

reset_counter <= reset_counter + 8'h1;??

end

reg n_rst;

always@(posedge clk) //軟件不發(fā)復位信號,F(xiàn)PGA自己產(chǎn)生,邏輯加載起來后馬上自己復位一次。

begin

if((reset_counter > 8'd1)&&(reset_counter < 8'd6))

n_rst <= 1'b0;? ? ?

else

n_rst <= 1'b1;

end

assign led=1'b0;

always @(posedge clk or negedge n_rst ) //將外部a信號進行時鐘同步

begin

if(n_rst==1'b0)

A_delay <=2'b00;

else

A_delay <={A_delay[1:0],A};

end

wire A_risingedge=(A_delay[2:1]==2'b01);

wire A_fallingedge=(A_delay[2:1]==2'b10);

always @(posedge clk or negedge n_rst )

begin

if(n_rst==1'b0)

begin

state<= S0;

count<=12'b0;

last_level<=1'b0;

A_sig_neg<=1'b0;

A_sig_pos<=1'b0;

end

else

case(state)

S0://空閑狀態(tài),判斷電平是否變化

begin

if(A_risingedge||A_fallingedge)

begin

state<= S1;

count<=12'b0;

last_level<=A_delay[2];

end

end

S1://計數(shù)狀態(tài)

begin

if(A_delay[2]==A_delay[1])

if(count==Cnt_20us)//判斷計數(shù)是否達到20us

begin

count<=12'b0;

state<= S2;

end

else

count<=count+1'b1;

else

count<=12'b0;

end

S2://判決狀態(tài)

begin

state<= S3;

if(!last_level&&A_delay[1])//確定是由低電平---->高電平

begin

A_sig_pos<=1'b1;

A_sig_neg<=1'b0;

end

else if(last_level&&!A_delay[1])//確定是由高電平---->低電平

begin

A_sig_neg<=1'b1;

A_sig_pos<=1'b0;

end

else

begin

A_sig_neg<=1'b0;

A_sig_pos<=1'b0;

end

end

S3:

begin

state<= S0;

count<=12'b0;

end

default:

begin

state<= S0;

count<=12'b0;

end

endcase

end

always @(posedge clk or negedge n_rst )

begin

if(n_rst==1'b0)

A_f<=1'b0;

else if(A_sig_pos==1'b1)

A_f<=1'b1;

else if(A_sig_neg==1'b1)

A_f<=1'b0;

else

A_f<=A_f;

end

endmodule

值得注意的是:

1、需要根據(jù)實際毛刺Cnt_20us的時間寬度改變閾值

2、在程序使用了軟復位,由于未設置按鍵這種異步復位,就實際程序隨板子上電后在主時鐘下進行軟件同步復位。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598901
  • 嵌入式
    +關(guān)注

    關(guān)注

    5045

    文章

    18817

    瀏覽量

    298490
  • 電磁干擾
    +關(guān)注

    關(guān)注

    36

    文章

    2228

    瀏覽量

    105134
  • 異步復位
    +關(guān)注

    關(guān)注

    0

    文章

    46

    瀏覽量

    13288

原文標題:FPGA處理編碼信號進行毛刺濾波

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設計論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA設計中毛刺信號的產(chǎn)生及消除

    摘要:主要討論了FPGA設計中毛刺信號產(chǎn)生的原因,分析總結(jié)了處理毛刺信號的幾種
    發(fā)表于 04-21 16:47

    fpga毛刺的產(chǎn)生及處理討論

    ,然后判斷在信號同時變化的時候,是否會產(chǎn)生冒險,這可以通過邏輯函數(shù)的卡諾圖或邏輯函數(shù)表達式來進行判斷。對此問題感興趣的讀者可以參考有關(guān)脈沖與數(shù)字電路方面的書籍和文章。 . 如何處理毛刺
    發(fā)表于 02-10 09:50

    fpga實現(xiàn)濾波

    本帖最后由 eehome 于 2013-1-5 10:03 編輯 fpga實現(xiàn)濾波器在利用FPGA實現(xiàn)數(shù)字
    發(fā)表于 08-11 18:27

    fpga實現(xiàn)濾波

    fpga實現(xiàn)濾波fpga實現(xiàn)濾波器在利用FPGA
    發(fā)表于 08-12 11:50

    FPGA數(shù)字信號處理實現(xiàn)原理及方法

    FPGA數(shù)字信號處理實現(xiàn)原理及方法
    發(fā)表于 08-15 19:00

    FPGA數(shù)字信號處理實現(xiàn)原理及方法

    FPGA數(shù)字信號處理實現(xiàn)原理及方法
    發(fā)表于 08-19 13:37

    毛刺濾波方法

    ,放大毛刺后如圖2所示,大約維持10ns的高電平。圖1圖2如何濾除這些毛刺呢?辦法有兩個,其一就是用純粹硬件的辦法,在信號進入FPGA之前進行
    發(fā)表于 06-04 05:00

    如何利用FPGA實現(xiàn)級聯(lián)信號處理器?

    傅里葉變換、脈沖壓縮、線性預測編碼語音處理、高速定點矩陣乘法等,有較好的應用前景和發(fā)展空間。那有誰知道該如何利用FPGA實現(xiàn)級聯(lián)信號
    發(fā)表于 07-30 07:22

    FPGA | 競爭冒險和毛刺問題

    布爾式中有相反的信號則可能產(chǎn)生 競爭和冒險現(xiàn)象); 2、卡諾圖:有兩個相切的卡諾圈并且相切處沒有被其他卡諾圈包圍,就有可能出現(xiàn)競爭冒險; 3、實驗法:示波器觀測; 解決方法: 1、加濾波電路,消除
    發(fā)表于 11-02 17:22

    FPGA設計中毛刺信號解析

    本文從FPGA的原理結(jié)構(gòu)的角度探討了產(chǎn)生毛刺的原因及產(chǎn)生的條件,在此基礎上,總結(jié)了多種不同的消除方法,在最后結(jié)合具體的應用對解決方案進行深入的分析。
    發(fā)表于 08-03 11:48 ?2101次閱讀
    <b class='flag-5'>FPGA</b>設計中<b class='flag-5'>毛刺</b><b class='flag-5'>信號</b>解析

    數(shù)字信號處理FPGA實現(xiàn)

    本書比較全面地闡述了fpga在數(shù)字信號處理中的應用問題。本書共分8章,主要內(nèi)容包括典型fpga器件的介紹、vhdl硬件描述語言、fpga設計
    發(fā)表于 12-23 11:07 ?45次下載

    基于FPGA毛刺問題及解決方法

    毛刺現(xiàn)象在FPGA設計中非常普遍, 而毛刺的出現(xiàn)往往導致系統(tǒng)結(jié)果的錯誤。本文從FPGA的原理結(jié)構(gòu)的角度深入探討了毛刺產(chǎn)生的原因及產(chǎn)生的條件,
    發(fā)表于 11-22 14:24 ?9327次閱讀

    如何實現(xiàn)光纖陀螺信號處理電路中的FPGA與DSP的接口方法

    非常重要而且必須面對的問題。針對閉環(huán)消偏光纖陀螺信號處理中既要實現(xiàn)對快速A仍采樣數(shù)據(jù)進行濾波,同時又能保證光纖陀螺能夠
    發(fā)表于 02-01 11:53 ?12次下載
    如何<b class='flag-5'>實現(xiàn)</b>光纖陀螺<b class='flag-5'>信號</b><b class='flag-5'>處理</b>電路中的<b class='flag-5'>FPGA</b>與DSP的接口<b class='flag-5'>方法</b>

    在 FlexIO上進行毛刺濾波方法

    -EVK,軟件基于 SDK2.13.0。 FlexIO 需要毛刺濾波的原因 以使用 FlexIO 模擬 UART 為例,其在理論上可以支持很高的波特率,但在每個 bit 只會進行一次采樣。 單次采樣
    的頭像 發(fā)表于 10-19 11:25 ?799次閱讀

    信號進行濾波處理方法

    濾波處理信號處理中的一個重要環(huán)節(jié),它可以幫助我們從噪聲中提取有用的信號,提高信號的質(zhì)量和可靠性
    的頭像 發(fā)表于 08-25 14:47 ?212次閱讀