0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

2024年全球半導體行業(yè)10大技術趨勢

穎脈Imgtec ? 2024-02-19 13:27 ? 次閱讀

整個2023年,半導體行業(yè)下行期的陰霾似乎仍未散去,但業(yè)界已經(jīng)看到了一絲曙光。年初ChatGPT的橫空出世,引爆了全球對于生成式人工智能(AIGC)的追捧。AI和大模型的興起催生多元化的落地場景,為數(shù)據(jù)中心、汽車電子等應用帶來極大助益的同時,也對芯片算力、能效、存儲和集成度等提出了新的挑戰(zhàn)。但也是這些挑戰(zhàn),也刺激了半導體從材料、設計、制造到封裝技術本身的發(fā)展。在第三代半導體碳化硅、氮化鎵開始大面積商用后,第四代半導體氧化鎵開始嶄露頭角;AI芯片借助大模型東風,成為各大芯片廠乃至整機廠自研角力的主戰(zhàn)場;為了獲得更大算力和更快的存儲速度,Chiplet、3D-IC、HBM以及一系列新型存儲器的商用也在提上日程;就連一直被稱為“實驗室技術”的可編程光計算,也開始躍躍欲試取代GPU中的線性計算部分。

AspenCore全球分析師團隊在這一年中與業(yè)內專家和廠商交流,總結分析后挑選出了2024年全球半導體行業(yè)將出現(xiàn)或高速發(fā)展的10大技術趨勢,供大家參考。


趨勢一:AI芯片為生成式AI加速

2023年對AI而言是個大年,臨近2022年底到2023年初,以ChatGPT為代表的生成式AI在應用端爆發(fā)。“生成式AI”(或被稱作AIGC)這個詞在2023年被大肆提及,仿佛“生成式AI”是此前所謂“強AI”時代的序幕。實際上,英偉達為數(shù)據(jù)中心GPU特別加入Transformer引擎并不是2023年的事情,但顯然這一提早布局為生成式AI的底層算力加速提供了基礎。
之所以形容生成式AI為“爆發(fā)”,從芯片角度來看,在GPT、Stable Diffusion等模型出現(xiàn)后不久,全球幾乎所有面向數(shù)據(jù)中心的大算力AI芯片——無論訓練還是推理,相關企業(yè)的市場似乎就改寫了劇本,幾乎家家戶戶都在宣傳自家芯片能夠為生成式AI提供算力,且為不同的大模型達成合作或支持。2023年的WAIC世界人工智能大會幾乎就是生成式AI專場。不僅是數(shù)據(jù)中心,邊緣與端側的AI芯片企業(yè)也在接踵摩肩地談生成式AI概念。從Intel為來年AI PC鋪設了半年的宣傳——2024年的PC處理器也將全面集成專用的AI加速單元,到2023年底聯(lián)發(fā)科高喊手機生成式AI芯片——手機也能在本地推理生成式AI模型,甚至部分做嵌入式應用的芯片企業(yè)也在談生成式AI。事實上,即便不談生成式AI,它帶來的AI旋風也極大程度再度帶動了邊緣AI的熱潮:包括TI、瑞薩、英飛凌在內的傳統(tǒng)MCU/MPU制造商都再度強調了邊緣AI當下的巨大價值。這種風潮,以及生成式AI在數(shù)據(jù)中心和PC/手機上的大熱,都將延續(xù)到2024年,并得到更進一步的發(fā)展,甚至在應用端發(fā)力時,為全社會數(shù)字化轉型帶來更多的可能性。


趨勢二:用Chiplet技術支持算力擴展成為主流趨勢

隨著摩爾定律放緩,以及AI、自動駕駛、數(shù)據(jù)中心等新的應用端對存儲力、算力提出更高的要求,單靠先進芯片工藝的不斷演進已難以為繼,Chiplet和三維異構集成,將為突破集成電路發(fā)展瓶頸提供新的增長驅動力。2023年,在臺積電、三星、Intel等芯片巨頭,以及產業(yè)鏈企業(yè)的推動下,Chiplet產業(yè)鏈各環(huán)節(jié)逐漸完善,形成了由Chiplet系統(tǒng)級設計、EDA/IP、芯粒(核心、非核心、IO Die、Base Die)、制造、封測組成的完整Chiplet生態(tài)鏈。目前,全球半導體科技巨頭均在積極推出包含Chiplet的產品,比如特斯拉Dojo深度學習和模型訓練芯片、AMD MI300 APU加速顯卡、英偉達Ampere A100 GPU等。國內算力芯片廠商亦在跟進布局。2024年,隨著AI大模型不斷發(fā)展,采用Chiplet技術來定制高效擴展算力將成為主流趨勢,未來還將運用在板級多芯片互連甚至更大規(guī)模的多板多機柜互連方案中。不過,盡管Chiplet正成為滿足當下算力需求的關鍵技術之一,但仍然面臨諸多設計挑戰(zhàn),比如互連、散熱、良率、翹曲、無源器件集成、寄生效率、成本、可靠性等。通過封裝技術才能有效實現(xiàn)多Chiplet的集成,包括高密度先進封裝的設計、生產、驗證,高速通道的設計、驗證,供電方案、散熱方案、應力方案、可靠性等。同時,Chiplet應用的局限性依然明顯,主要在于Chiplet仍以國際大廠的垂直體系為主,相關設計系統(tǒng)相對封閉,且仍有待完善互聯(lián)標準。


趨勢三:HBM,價量齊升

隨著人工智能/機器學習(AI/ML)在全球范圍內的迅速興起,2020年,以高帶寬內存(HBM、HBM2、HBM2E、HBM3)為代表的超帶寬解決方案開始逐漸顯露頭角。進入2023年后,以ChatGPT為代表的生成式人工智能市場的瘋狂擴張,在讓AI服務器需求迅速增加的同時,也帶動了HBM3等高階產品的銷售上揚。Omdia研究顯示,從2023年到2027年,HBM市場收入的年增長率預計將飆升52%,其在DRAM市場收入中的份額預計將從2023年的10%增加到2027年的近20%。而且,HBM3的價格大約是標準DRAM芯片的5-6倍,這就是為什么2023年HBM出貨量僅占DRAM總出貨量的1.7%,但其銷售額比例卻達到了11%,英偉達、AMD、微軟、亞馬遜等芯片大廠排隊搶貨,甚至溢價也可考慮的原因。HBM技術于2013年推出,是一種高性能3D堆棧DRAM構架,數(shù)據(jù)傳輸速率大概可以達到1Gbps左右。此后,該技術標準差不多每隔2-3年就會更新一代,使得第二代(HBM2)、第三代(HBM2E)、第四代(HBM3)和第五代(HBM3E)產品的帶寬和最高數(shù)據(jù)傳輸速率記錄被不斷刷新。鑒于同期內其他產品的帶寬僅增加兩到三倍,我們有理由將HBM產品的快速發(fā)展歸功于存儲器制造商之間激烈的競爭。目前來看,作為一項重要的技術創(chuàng)新,HBM的發(fā)展前景是相當光明的,尤其是在人工智能訓練應用中。但對比GDDR DRAM動輒16/18Gbps的速率,HBM3的速率即便達到9.2Gbps,也仍然存在差距,而限制HBM發(fā)展的原因則主要來自兩方面:一是中介層,二是3D堆疊帶來的復雜性和制造成本的增加。但我們相信,隨著全球存儲巨頭的深度介入,上述挑戰(zhàn)終將得到解決,HBM市場的激戰(zhàn)也會愈演愈烈。


趨勢四:衛(wèi)星通信技術邁出一大步,6G已具雛形

在去年的預測中,我們提到手機衛(wèi)星通信技術將在2023年開始全面鋪開。如今這項技術在華為對射頻天線技術的攻克之下,再度往前邁出了一大步。隨著華為Mate60Pro系列的推出,手機行業(yè)從點對點、單向的衛(wèi)星短消息模式進入了衛(wèi)星通話時代。以往,人們主要注重5G及其芯片,忽略了衛(wèi)星通訊。當前,以華力創(chuàng)通、海格等一系列研發(fā)衛(wèi)星通訊芯片的公司得到了迅猛發(fā)展。在SoC方面,紫光展銳也推出了首款5G衛(wèi)星通信芯片V8821,符合IoT NTN R17標準,支持L頻段海事衛(wèi)星以及S頻段天通衛(wèi)星,并且可擴展支持接入其它NTN衛(wèi)星系統(tǒng),能夠提供數(shù)據(jù)傳輸、文字消息、通話和位置共享等功能,除了可用在智能手機直連衛(wèi)星上以外,還可用于物聯(lián)網(wǎng)、可穿戴產品、車聯(lián)網(wǎng)等。聯(lián)發(fā)科也在MWC2023大會上,推出了MT6825 IoT-NTN芯片組,可連接地球同步軌道(GEO)衛(wèi)星,易于轉換為3GPP NTN標準衛(wèi)星網(wǎng)絡使用。2023年8月,聯(lián)發(fā)科發(fā)布最新衛(wèi)星網(wǎng)絡和地面網(wǎng)絡整合為題的6G NTN技術白皮書,未來將通過衛(wèi)星網(wǎng)絡與地面網(wǎng)絡的兼容互補,打造陸??杖匦?、全空間的立體網(wǎng)絡覆蓋范圍,為使用者提供無縫智聯(lián)的通信服務。至此,隨著衛(wèi)星通信技術在手機和物聯(lián)網(wǎng)等領域的不斷突破,未來的6G已具雛形。2024,將是衛(wèi)星通信技術全面開花的一年。


趨勢五:氧化鎵商業(yè)化進程腳步將至

當前,寬禁帶半導體發(fā)展勢如破竹,其中,作為第四代半導體的氧化鎵已經(jīng)逐步嶄露頭角。相比金剛石、氧化鋁等同是第四代半導體來說,氧化鎵已經(jīng)可以實現(xiàn)更大晶圓尺寸的突破,有數(shù)據(jù)預測到2023年氧化鎵的市場規(guī)模有望超過氮化鎵器件的規(guī)模。氧化鎵有五種已確認的結晶形態(tài),其中最為穩(wěn)定的是β-氧化鎵,當前大部分研究和開發(fā)也是針對β-氧化鎵進行,氧化鎵擁有高擊穿場強的特性,導通電阻比氮化鎵、碳化硅低得多,能有效降低器件的導通損耗。氧化鎵的生長過程可以使用常壓下的液態(tài)熔體法,在制造生產上具有成本優(yōu)勢。當前氧化鎵的發(fā)展前景日益凸顯,該市場由日本的Novel Crystal Technology(NCT)和Flosfia兩大巨頭占據(jù)主要市場。當前產業(yè)界已經(jīng)成功量產4英寸氧化鎵晶圓,在未來幾年將有望擴大至6英寸。同時,β-氧化鎵肖特基二極管的商業(yè)化開發(fā)進程在不斷加速。在功率電子市場,氧化鎵與氮化鎵和碳化硅的應用有所重合,目前車規(guī)級功率器件的上車率已經(jīng)在逐年遞增,這也為氧化鎵提供更大的應用場景的機會。從短期來看,在消費電子、家電以及高可靠、高性能的工業(yè)電源等也具備很大的潛力。雖然氧化鎵帶來了新的可能性,但碳化硅和氮化鎵等材料也擁有過其獨特的優(yōu)勢和應用領域。隨著科研技術的不斷進步和應用場景的不斷拓展,氧化鎵在半導體領域有望發(fā)揮更大的重要性。


趨勢六:上下游積極推進3D-IC商用進程

過去50多年,摩爾定律牽引著整個半導體行業(yè)的發(fā)展,如今由于先進工藝升級變緩,研發(fā)成本高昂,無法像過去那樣每18~24個月帶動晶體管集成的數(shù)量翻倍,導致半導體性能提升面臨瓶頸。不過好在先進封裝仍在持續(xù)演進,從1970年的MCM到SiP,再到2.5D和現(xiàn)在的3D-IC、異構集成,為半導體行業(yè)的創(chuàng)新打開了另一扇大門。幾年前出現(xiàn)的PCB板級封裝3D-IC,已經(jīng)不是什么新鮮事,如今大家討論的是兩個晶圓堆疊(WoW)的3D-IC,只有這樣芯片間通信的帶寬才會更大。但目前3D-IC仍未實現(xiàn)大面積商用,主要面臨兩個最大挑戰(zhàn):散熱問題;芯片表面張力問題。復雜、緊湊、密度增大,導致3D-IC內部熱量比傳統(tǒng)2D芯片更難散出,不同工藝的晶粒堆棧之間,產生的應力也是千奇百怪。不但需要特殊的D2D接口IP,使用TSV技術來實現(xiàn)芯片間高速高效數(shù)據(jù)通信,還需要用合適的EDA工具在鍵合前進行熱分析、應力分析,幫助芯片設計工程師完整系統(tǒng)整合優(yōu)化。整個2023年,業(yè)界也在積極加速推動3D-IC進展和系統(tǒng)級創(chuàng)新部署。例如,臺積電推出新的3Dblox 2.0 開放標準,3DFabric平臺讓客戶可以自由選配3D-IC前段和后段組裝測試相關技術,包含整合芯片系統(tǒng)(SoIC)、整合型扇出(InFO)以及CoWoS;聯(lián)電與華邦、智原、日月光半導體和Cadence成立W2W 3D IC項目,加速3D封裝產品生產,預計 2024 年完成系統(tǒng)級驗證;新思科技則和力積電合作,共同推出新的W2W和晶圓堆棧芯片(CoW)解決方案,開發(fā)者能將DRAM存儲器直接堆疊和鍵合在芯片上。


趨勢七:Micro OLED進入規(guī)?;瘧们耙?/strong>

盡管Micro LED堪稱最完美的顯示技術,但其仍然面臨巨量轉移、全彩化、檢測修復等技術挑戰(zhàn),短期內無法規(guī)?;瘧?。而Micro OLED是顯示技術和半導體技術的深度結合,即CMOS技術與OLED技術的緊密結合,也是無機半導體材料與有機半導體材料的高度融合。盡管Micro OLED也存在諸多技術難題,特別是CMOS工藝與OLED技術分屬不同工藝制程,兩者專業(yè)且復雜,集成技術要求嚴苛,但相對Micro LED,其規(guī)?;瘧每赡苄愿蟆⒏?。Micro OLED技術與目前主流VR/AR顯示技術Fast-LCD相比,也有不少優(yōu)勢,主要體現(xiàn)在低功耗、工作溫度寬、高對比度、響應速度快等性能上,幾乎彌補了Fast-LCD的不足,是當下最適用于近眼顯示的微顯示技術。蘋果在2023年全球開發(fā)者大會發(fā)布了采用Micro OLED顯示屏的Vision Pro頭顯,勢必會推動這一技術的商業(yè)化應用。不過,Micro OLED因其有機發(fā)光材料的屬性,存在兩大天然的技術障礙:亮度和壽命,即與其他OLED技術一樣,存在燒屏、壽命期較短的問題。但鑒于Micro OLED主要應用于消費電子領域,壽命期這一缺陷就如OLED顯示在智能手機上的應用,并不會產生太大影響。而Micro OLED的亮度則無法滿足VR/AR設備完全模擬的要求。2023年全球Micro OLED廠商積極擴產Micro OLED 8英寸、12英寸產線,部分已經(jīng)實現(xiàn)量產,預計2024年將為虛擬現(xiàn)實終端應用提供更多數(shù)量的Micro OLED屏幕。同時,鑒于Micro LED短期內無法規(guī)?;慨a,Micro OLED則有機會在未來一段時間內成為微顯示主流技術。


趨勢八:“可編程光計算芯片”應對算力需求暴漲

生成式AI浪潮推動了算力需求的暴漲,但隨著摩爾定律逼近極限,曾經(jīng)的電子技術已難以滿足新一輪科技革命需要,人們開始尋求“把電換成光”,來進一步提升算力。光芯片很早就有,但絕大多數(shù)是不可編程的光學線性計算單元,要想通過光來提升算力,計算單元就必須具備可編程性。這種光計算芯片直到2017年,沈亦晨等人在《自然·光子》(Nature Photonics)期刊上發(fā)表論文,提出一種以光學神經(jīng)網(wǎng)絡為藍本的全新計算架構,才逐漸取得突破性進展??删幊坦庥嬎阈酒哂屑啥雀摺⑺俣瓤?低延遲、低能耗、擅長AI矩陣計算、成本下降潛力大、波導傳輸性能優(yōu)異等優(yōu)勢。挑戰(zhàn)也同時存在,例如復雜計算需要用到大量光器件,帶來更復雜的結構和更大的尺寸;實現(xiàn)可編程要對每個器件進行控制,在工藝上要求更高集成度帶來的成本、穩(wěn)定性和良率挑戰(zhàn);以及環(huán)境溫度對計算精度產生影響,帶來的溫度控制挑戰(zhàn)等等。硅光芯片商業(yè)化最主要的思路就是硅光的技術通用性,例如優(yōu)先把GPU中做線性計算的計算核部分,換成光的計算核,形成光電混合的算力網(wǎng)絡新范式,最大限度降低客戶的學習成本和使用門檻。其次是光芯片模塊化,在滿足計算應用的同時,追求片間傳輸光模塊的“即插即用”。這也涉及到用片上光網(wǎng)絡和片間光網(wǎng)絡技術,利用光的低延遲和低能耗優(yōu)勢代替模塊間的電互連,晶圓級的光互連網(wǎng)絡能夠在把計算任務映射到不同芯片時,達到更高的利用率。


趨勢九:新型存儲器,從理論走向實戰(zhàn)

物聯(lián)網(wǎng)、人工智能的發(fā)展讓信息量呈現(xiàn)爆炸式增長,所有資料都必須在從邊緣到云端的多個層級上進行收集、處理和傳輸、存儲和分析。但另一方面,摩爾定律卻面臨擴張速度的急速放緩,無法再提供功率、性能和面積成本(PPAC)的同步提升。在這樣的大背景下,各種規(guī)模的企業(yè)開始競相開發(fā)新的硬件平臺、架構與設計,以提升計算效率,而以MRAM(磁性隨機存儲器)、PCRAM(相變隨機存儲器)和ReRAM(阻變存儲器)為代表的新型存儲器技術,便是芯片與系統(tǒng)設計人員都致力研究的關鍵領域之一。這些新型存儲器既能夠提供更多工具來增強近存儲器計算(Near Memory Compute),也是下一階段存儲器內計算(In-Memory Compute)的建構模組。相關研究指出,無論是作為獨立芯片還是被嵌入于ASIC、微控制器(MCU)和運算處理器中,它們都有可能變得比現(xiàn)有的主流內存技術更具競爭力。如果以嵌入式MRAM取代微控制器中的eFlash和SRAM,可節(jié)省高達90%的功耗;如果采用單一晶體管MRAM取代六個晶體管SRAM,則可實現(xiàn)更高的位元密度和更小的芯片尺寸,這些功率與面積成本優(yōu)勢將使MRAM成為邊緣側設備的有力競爭者。而相較于傳統(tǒng)的NAND閃存,PCRAM或ReRAM存儲級存儲器更可提供超過10倍以上的存取速度,更適合在云端對資料進行存儲。但這些新興存儲器也存在一些關鍵共性問題,例如在單元層面,就存在熱穩(wěn)定性、寫電流與疲勞特性之間的矛盾,需要通過材料的選擇、集成工藝、電路的綜合優(yōu)化來克服;如果從陣列架構方面來看,交叉陣列結構中又存在由漏電引起的串擾問題。從目前的研究進展來看,相變材料異質結構設計、自旋軌道矩(SOT)等前沿技術,有望能夠較好的解決上述挑戰(zhàn)。


趨勢十:硅基量子計算的可用性與商業(yè)化邁進

很多企業(yè)和機構研究量子計算機,是把注意力集中在了類似超導比特位之類的材料上。近些年有越來越多的研究機構把注意力放到了硅基量子計算方向。畢竟硅仍然是一種更唾手可得的材料,也就具備了天然的優(yōu)勢。而且對硅基量子計算而言,量子位可以是單個電子,也能做得非常小。則硅基量子計算技術是更便于大規(guī)模量產的,即便它在操作時間(類似于門延遲)方面會弱于基于超導的量子位。這兩年對硅基量子計算而言都可謂收獲頗豐。2022年硅基量子計算領域取得了一些比較大的技術突破,包括極低錯誤率的量子計算實現(xiàn),讓這種計算技術有了可以規(guī)?;?、真正用于計算的潛在價值。而且也有研究展示了較長的自旋量子位相干時間——研究展示的平臺還和CMOS生產制造兼容。2023年硅基量子計算有幾個重大事件。6月份,IBM宣布量子計算機進入“可用”(utility)階段;9月份,澳大利亞首席科學家Cathy Foley說見到了“量子時代的曙光”。與此同時物理學家Michelle Simmons因為開發(fā)硅基量子計算機,獲得澳大利亞國家最高科學獎。商業(yè)化方面,具有代表性的是Intel這些年開展有關量子計算的研究,自然都是基于其晶體管設計和制造方面的積累,都是基于硅的。此外也有包括Quantum Motion, Silicon Quantum Computing在內的企業(yè)著手硅量子計算機研究開發(fā)。2024年的硅基量子計算或許會有進一步的商業(yè)化邁進。

作者:ASPENCORE全球編輯群

EET電子工程專輯原創(chuàng)

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 半導體
    +關注

    關注

    334

    文章

    26311

    瀏覽量

    209944
  • AI
    AI
    +關注

    關注

    87

    文章

    28875

    瀏覽量

    266203
  • 人工智能
    +關注

    關注

    1787

    文章

    46060

    瀏覽量

    234958
收藏 人收藏

    評論

    相關推薦

    揚杰科技亮相2024全球車規(guī)級功率半導體峰會暨優(yōu)秀供應商創(chuàng)新展

    聚焦行業(yè)趨勢,共話產業(yè)未來, 20245月30日,為期一天半的2024全球車規(guī)級功率
    的頭像 發(fā)表于 05-31 09:41 ?353次閱讀
    揚杰科技亮相<b class='flag-5'>2024</b><b class='flag-5'>全球</b>車規(guī)級功率<b class='flag-5'>半導體</b>峰會暨優(yōu)秀供應商創(chuàng)新展

    喜訊 | MDD辰達半導體榮獲藍點獎“最具投資價值獎”

    。 左二:MDD辰達半導體銷售總監(jiān) 作為深耕半導體分立器件領域16的專精企業(yè),MDD辰達半導體始終堅持技術驅動和客戶需求導向,致力于打造包
    發(fā)表于 05-30 10:41

    2024全球半導體產業(yè)發(fā)展態(tài)勢解析

    本文由半導體產業(yè)縱橫(ID:ICVIEWS)編譯自semiconductorintelligence2024開局緩慢,但已為增長做好準備。根據(jù)WSTS的數(shù)據(jù),2024
    的頭像 發(fā)表于 05-30 08:27 ?4676次閱讀
    <b class='flag-5'>2024</b><b class='flag-5'>年</b><b class='flag-5'>全球</b><b class='flag-5'>半導體</b>產業(yè)發(fā)展態(tài)勢解析

    臺積電預測全球半導體行業(yè)年銷售額將增長10%

    今年4月份,臺積電對全球半導體行業(yè)(不含內存芯片)的增長預期進行了調整,由原先超過10%的預測降至約10%。同時,世界
    的頭像 發(fā)表于 05-23 15:51 ?393次閱讀

    2024全球與中國自動方向電壓轉換器行業(yè)總體規(guī)模、主要企業(yè)國內外市場占有率及排名

    、市場份額及排名等,企業(yè)數(shù)據(jù)主要側重近三行業(yè)內主要廠商的市場銷售情況。地區(qū)層面,主要分析過去五和未來五行業(yè)內主要生產地區(qū)和主要消費地區(qū)
    發(fā)表于 03-29 16:25

    2024十大半導體發(fā)展趨勢

    。為了實現(xiàn)這一目標,該行業(yè)正在采用最新技術來提高效率并滿足環(huán)境要求。從最近這些的發(fā)展情況來看,2024
    的頭像 發(fā)表于 03-22 08:26 ?575次閱讀
    <b class='flag-5'>2024</b><b class='flag-5'>年</b>十大<b class='flag-5'>半導體</b>發(fā)展<b class='flag-5'>趨勢</b>

    2024全球與中國7nm智能座艙芯片行業(yè)總體規(guī)模、主要企業(yè)國內外市場占有率及排名

    地區(qū)的規(guī)模及趨勢。 全球及中國主要廠商如下,也可根據(jù)客戶要求增加目標企業(yè): 高通 恩智浦 德州儀器 三星電子 華為 意法半導體 瑞薩電子 聯(lián)發(fā)科技 英偉
    發(fā)表于 03-16 14:52

    全球半導體,冰火兩重天

    2023年半導體市場負增長10%左右市場研究公司Gartner公布的全球半導體市場增長率趨勢(2003-
    的頭像 發(fā)表于 03-05 08:14 ?347次閱讀
    <b class='flag-5'>全球</b><b class='flag-5'>半導體</b>,冰火兩重天

    2024我國半導體產業(yè)發(fā)展及五大增長趨勢預測

    2024全球半導體產業(yè)將逐步復蘇,重新進入穩(wěn)步增長的發(fā)展態(tài)勢。
    的頭像 發(fā)表于 03-01 17:09 ?1.2w次閱讀
    <b class='flag-5'>2024</b><b class='flag-5'>年</b>我國<b class='flag-5'>半導體</b>產業(yè)發(fā)展及五大增長<b class='flag-5'>趨勢</b>預測

    2024工業(yè)行業(yè)轉型展望

    行業(yè)變革中的挑戰(zhàn)與機遇 2024將是全球工業(yè)格局發(fā)生重大變化的一。CADENAS著眼于最重要的五大主題:數(shù)字化轉型、技能短缺、供應鏈、可
    發(fā)表于 02-23 16:55

    202311月全球半導體行業(yè)總銷售額480億美元

    銷售額首次同比增長,SIA的CEO John Neuffer認為202311月份的數(shù)據(jù)振奮人心,這表明全球芯片市場在24將繼續(xù)走強,2024
    的頭像 發(fā)表于 01-16 16:23 ?610次閱讀

    半導體行業(yè)產業(yè)趨勢詳細報告

    2023上半年全球半導體處于下行周期,但AIGC帶來的新一輪技術創(chuàng)新引發(fā)需求大幅提升,行業(yè)有望在202
    的頭像 發(fā)表于 01-12 09:25 ?1329次閱讀
    <b class='flag-5'>半導體</b><b class='flag-5'>行業(yè)</b>產業(yè)<b class='flag-5'>趨勢</b>詳細報告

    2024全球半導體月產能首次突破3000萬片

    報告強調,全球半導體行業(yè)的繁榮得益于前沿 IC 與晶圓代工產能的擴張以及終端芯片需求的逐漸恢復。同時,受政府激勵措施的影響,包括關鍵芯片制造地區(qū)的晶圓廠投資不斷增加,預計 2024
    的頭像 發(fā)表于 01-05 10:16 ?820次閱讀

    2024全球半導體行業(yè)將出現(xiàn)或高速發(fā)展的10技術趨勢

    整個2023,半導體行業(yè)下行期的陰霾似乎仍未散去,但業(yè)界已經(jīng)看到了一絲曙光。
    的頭像 發(fā)表于 12-28 10:15 ?2210次閱讀

    2024全球半導體市場八大預測!

    根據(jù)IDC(國際數(shù)據(jù)資訊)最新研究顯示,隨著全球人工智能(AI)、高效能運算(HPC)需求爆發(fā)式提升,加上智能手機、個人計算機、服務器、汽車等市場需求回穩(wěn),預期2024年半導體銷售市場將重回成長
    的頭像 發(fā)表于 12-27 16:41 ?755次閱讀