0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

扇出型封裝晶圓級(jí)封裝可靠性問(wèn)題與思考

半導(dǎo)體封裝工程師之家 ? 作者:范懿鋒 董禮 張延 ? 2024-04-07 08:41 ? 次閱讀

范懿鋒 董禮 張延偉 王智彬 孟猛

(中國(guó)空間技術(shù)研究院空軍裝備部駐北京地區(qū)第五軍事代表室)

摘要:

半導(dǎo)體先進(jìn)制程工藝逐步趨于極限, 繼續(xù)沿摩爾定律發(fā)展的腳步放緩, 而扇出型晶圓級(jí)封裝(Fan-outWafer Level Packaging,FOWLP)通過(guò)晶圓重構(gòu)的方式突破了傳統(tǒng)扇入封裝的 I/ O 引出端的數(shù)量限制, 并利用多層再布線(Redistribution Layer, RDL)等技術(shù), 縮小引腳間距, 減薄封裝厚度, 降低高頻信號(hào)傳輸損耗, 從而進(jìn)一步提升芯片集成度。 近年來(lái)已在消費(fèi)電子、 高性能計(jì)算等領(lǐng)域逐漸發(fā)展成為具有代表性的先進(jìn)封裝技術(shù), 是接續(xù)摩爾定律的關(guān)鍵技術(shù)。 但 FOWLP 的可靠性問(wèn)題隨著其結(jié)構(gòu)精密和生產(chǎn)流程復(fù)雜而日益突出。結(jié)合 FOWLP 近期技術(shù)發(fā)展和應(yīng)用的現(xiàn)狀, 總結(jié)了發(fā)展趨勢(shì); 從 FOWLP 結(jié)構(gòu)的工藝缺陷和失效模式出發(fā), 闡述了 FOWLP 的工藝流程和重點(diǎn)工藝環(huán)節(jié); 根據(jù)不同失效類型, 系統(tǒng)歸納了引發(fā)失效的物理效應(yīng)和物理模型; 最后, 介紹了提升 FOWLP 結(jié)構(gòu)可靠性的工藝調(diào)整和優(yōu)化設(shè)計(jì)方法。

隨著先進(jìn)納米制程已逼近物理極限, 在日益增長(zhǎng)的性能需求與摩爾定律逐漸失效的矛盾影響下, Intel、NVIDIA、 臺(tái)積電、AMD 等老牌半導(dǎo)體企業(yè)紛紛加大了疊層封裝(PoP)、FOWLP、 硅通孔(TSV)等先進(jìn)封裝領(lǐng)域的投入, 要借助先進(jìn)的封裝技術(shù)實(shí)現(xiàn)更高性能、更低耗電量、更小體積、 信號(hào)傳輸速度更快的產(chǎn)品。

作為先進(jìn)性封裝研究的重要成果之一, FOWLP 在移動(dòng)及可穿戴設(shè)備中大獲成功, 在高性能及云計(jì)算、自動(dòng)駕駛物聯(lián)網(wǎng)(IoT)中也得到了應(yīng)用。 FOWLP 具有異質(zhì)集成、 3D 堆疊的潛力, 可以與多種先進(jìn)封裝技術(shù)相互組合, 是未來(lái)先進(jìn)封裝技術(shù)演進(jìn)的基石 [1] 。FOWLP 已在商用產(chǎn)品中有了扎實(shí)的使用基礎(chǔ), 助力可編程邏輯陣列 FPGA、 中央管理器 CPU、 數(shù)字信號(hào)處理模塊 DSP 等關(guān)鍵元器件向高密度、 高性能和高可靠性發(fā)展, 隨著軍事、 航空、 航天等領(lǐng)域逐漸向小體積、輕質(zhì)量、 高可靠性的方向發(fā)展,FOWLP 將在軍用及航空航天用器件的封裝變革中起到關(guān)鍵作用 [2-3] 。

目前先進(jìn)制程工藝的進(jìn)步速度逐漸放緩, 且在當(dāng)前國(guó)際背景下, 受美、 日、 荷等國(guó)半導(dǎo)體相關(guān)行業(yè)的出口限制, 國(guó)內(nèi)先進(jìn)制程工藝難以短時(shí)間內(nèi)實(shí)現(xiàn)有效突破。加強(qiáng)對(duì)以 FOWLP 為代表的先進(jìn)封裝技術(shù)的發(fā)展和應(yīng)用, 可以有效促進(jìn)集成電路在一定行業(yè)應(yīng)用范圍內(nèi)向著更高集成度的方向繼續(xù)發(fā)展, 滿足相關(guān)應(yīng)用需求。

在 FOWLP 中存在兩個(gè)重要概念, 即扇出型封裝和晶圓級(jí)封裝。如圖 1 所示, 扇出型封裝(Fan-out)是與扇入型封裝(Fan-in)對(duì)立的概念, 傳統(tǒng)扇入型封裝的 I/ O 接口均位于晶粒(Die)的下方, I/ O 接口的數(shù)量受限于芯片尺寸的大小, 隨著芯片技術(shù)的發(fā)展, I/ O接口的數(shù)量已經(jīng)成為制約芯片性能發(fā)展的短板之一,而扇出型封裝則可以利用重布線(RDL)技術(shù)和模塑化合物提供的額外芯片面積, 將 I/ O 接口分布在晶粒之外, 大大增加了芯片 I/ O 接口數(shù)量, 從而滿足芯片日益增長(zhǎng)的吞吐需求 [4] 。 傳統(tǒng)封裝往往是將晶粒從晶圓(Wafer)上切割下來(lái), 再分別對(duì)晶粒進(jìn)行封裝, 而晶圓級(jí)封裝則另辟蹊徑, 先將晶圓進(jìn)行整體封裝, 再進(jìn)行切割,更適合集成電路的大規(guī)模批量生產(chǎn) [5] 。

縱觀集成電路封裝技術(shù)的發(fā)展歷程, 伴隨著封裝結(jié)構(gòu)的布線密度和 I/ O 接口數(shù)量的不斷上升, 封裝結(jié)構(gòu)和工藝流程日趨復(fù)雜, 引發(fā)的可靠性問(wèn)題也逐漸增多 [6] 。

本文第一章介紹了近幾年國(guó)內(nèi)外 FOWLP 技術(shù)發(fā)展和應(yīng)用的現(xiàn)狀, 介紹了國(guó)內(nèi)半導(dǎo)體廠商特色封裝技術(shù)和先進(jìn)封裝技術(shù)發(fā)展水平。 第二章列舉了 FOWLP的關(guān)鍵工藝和常用的可靠性測(cè)試方法。第三章介紹了學(xué)術(shù)界對(duì)晶圓翹曲、 芯片偏移兩種工藝缺陷和重布線層分層、 焊球開(kāi)裂兩類失效模式的失效分析成果。 第四章介紹了基于失效分析形成的失效物理模型對(duì)FOWLP 的工藝和設(shè)計(jì)進(jìn)行可靠性優(yōu)化的部分典型案例。 最后展望了進(jìn)一步提升 FOWLP產(chǎn)品可靠性的研究方向。

157156d8-f21c-11ee-b759-92fbcf53809c.png

1行業(yè)發(fā)展及應(yīng)用現(xiàn)狀

2016 年, 臺(tái)積電(TSMC)經(jīng)過(guò)在先進(jìn)封裝技術(shù)上近十年的布局和技術(shù)沉淀, 在 FOWLP 領(lǐng)域開(kāi)發(fā)了集成扇出型(Integrated Fan-out, InFO)封裝技術(shù) [6-7] , 并成功應(yīng)用于蘋果 iPhone7 系列手機(jī)的 A10 應(yīng)用處理器 [8] , 之后蘋果每一代手機(jī)應(yīng)用處理器都采用臺(tái)積電的集成扇出型封裝技術(shù), 幫助臺(tái)積電拿下蘋果處理器芯片制造和封裝訂單。

在先進(jìn)封裝領(lǐng)域, AMD 公司將多項(xiàng)先進(jìn)封裝技術(shù)投入商用。 Chiplet, 中文譯名芯?;蚓Я? 是近期集成電路業(yè)界的熱點(diǎn)話題, Chiplet 是指預(yù)先制造好、 具有特定功能、 可組合集成的晶片, 該技術(shù)可以縮小單顆芯片面積, 提高良品率, 有助于企業(yè)控制生產(chǎn)成本和提高迭代速度。 如何實(shí)現(xiàn)多芯粒之間的高速互聯(lián)是實(shí)現(xiàn) Chiplet 的技術(shù)難點(diǎn), 而FOWLP 技術(shù)的高密度重布線工藝滿足多芯粒之間高速互聯(lián)的需求。 FOWLP 技術(shù)的發(fā)展是 Chiplet 技術(shù)走向商用的先決條件。 AMD公司在扇出型封裝技術(shù)上投入巨資, 并且在商業(yè)競(jìng)爭(zhēng)中率先使用先進(jìn)的 FOWLP 技術(shù), 該技術(shù)路線幫助AMD 公司在 CPU和 GPU 領(lǐng)域追趕行業(yè)先驅(qū) Intel 和NVIDIA。 在2019 年發(fā)布的7nm Zen2 架構(gòu)銳龍?zhí)幚砥髦? AMD 公司將 CPU 芯片拆分成 CCD 和 cIOD 兩部分, 其中僅有負(fù)責(zé)邏輯運(yùn)算的 CCD 使用臺(tái)積電 7 nm工藝, 對(duì)性能要求較低的 cIOD 部分使用了 12 nm 工藝。 Zen2 架構(gòu)意義重大, 實(shí)現(xiàn)了對(duì)同期Intel 產(chǎn)品的性能追趕, 為提升 AMD 在德國(guó)等多國(guó) CPU 市場(chǎng)占有率奠定了基礎(chǔ)。

在 CPU 領(lǐng)域通過(guò)先進(jìn)封裝技術(shù)取得優(yōu)勢(shì)的 AMD公司也試圖將 FOWLP 技術(shù)引入到 GPU 領(lǐng)域, AMD公司于 2022年 12 月 13 日向市場(chǎng)推出了使用 RDNA 3架構(gòu)的 Radeon 7900XTX 顯卡, AMD 將顯卡核心 BigNavi 3x 拆分為一個(gè) GCD 和六個(gè) MCD, 與 AMD 在Zen 架構(gòu)的做法相同, 負(fù)責(zé)邏輯計(jì)算的GCD 使用了臺(tái)積電 5 nm 工藝, 面積為 300 mm 2 , MCD 使用了臺(tái)積電 6 nm 工藝, 單顆面積為 37 mm 2 。 得益于更小的芯片帶來(lái)更高的良率, 以及 MCD 芯片成熟工藝, 使得AMD 的 Big Navi 3x 核心在性能對(duì)標(biāo)競(jìng)爭(zhēng)廠家 NVIDIA的 AD102 核心的同時(shí)實(shí)現(xiàn)了較好的成本控制。

Intel 公司結(jié) 合自身在基 板領(lǐng)域的積 累, 推出EMIB(Embedded Multi-Die InterconnectBridge), 中文譯名為嵌入式多核心互聯(lián)橋接。 EMIB 工藝建立在標(biāo)準(zhǔn)封裝構(gòu)造流程的基礎(chǔ)上, 附加了創(chuàng)建 EMIB 腔的步驟。 連接橋位于空腔中, 并用粘合劑固定在適當(dāng)?shù)奈恢谩?添加介電層和金屬堆積層, 然后進(jìn)行通孔鉆孔和電鍍。作為 CPU 行業(yè)的巨頭, Intel 公司正試圖參與GPU 市場(chǎng)競(jìng)爭(zhēng)。 在 2022 年 8月的半導(dǎo)體行業(yè)會(huì)議 Hot Chips 34 上, Intel公司公開(kāi)了一套名為 Ponte Vecchio的服務(wù)器 GPU架構(gòu)。 Intel 公司作為 GPU 行業(yè)的后來(lái)者, 在最新推出的 GPU 產(chǎn)品中使用了比AMD 公司更先進(jìn)的封裝技術(shù)。 Intel 公司稱 PonteVecchio 內(nèi)部同時(shí)混用 Intel 7 和臺(tái)積電 N7/N5 等多個(gè)工藝節(jié)點(diǎn), 并使用了多達(dá) 11 處的 EMIB 結(jié)構(gòu)以實(shí)現(xiàn)內(nèi)部高速互聯(lián)。

在超算領(lǐng)域, 特斯拉于 2021 年公布了 Dojo 芯片的概念圖, Dojo 是神經(jīng)網(wǎng)絡(luò)訓(xùn)練優(yōu)化超級(jí)計(jì)算機(jī)芯片, 該芯片將首次使用臺(tái)積電 InFO_ SoW (System-on-Wafer)技術(shù) [9] , Dojo 使用 6 層RDL, 將整塊 12 英寸重構(gòu)晶圓安裝在散熱盤上, 散熱盤可以為芯片提供剛度并將散熱能力從 7000 W 提升至 15000 W。

2022 年, FOWLP 技術(shù)在 GPU 領(lǐng)域得到了快速的推廣應(yīng)用, 2021 年底到 2022 年初, 馬斯克在推特上發(fā)布多篇推文公布特斯拉自研超算 GPU 芯片, 以取代特斯拉采購(gòu)的NVIDIA 芯片。 2022 年 8 月 Intel 公布全新服 務(wù) 器 GPU 架 構(gòu), 12 月 AMD 發(fā) 售 消 費(fèi) 級(jí)GPU7900XTX,這三家公司為了追趕行業(yè)先進(jìn)水平,使用的先進(jìn)封裝技術(shù)越發(fā)激進(jìn), 但市占率最高的NVIDIA 公司仍采用了較保守的封裝方案。 無(wú)論是CPU、 GPU 還是其他集成電路產(chǎn)業(yè), 均呈現(xiàn)出越是行業(yè)后發(fā)者, 使用的封裝技術(shù)越先進(jìn)的趨勢(shì)。 如圖 2 所示, 這一趨勢(shì)在市場(chǎng)規(guī)模最大、 競(jìng)爭(zhēng)最激烈的 GPU 市場(chǎng)表現(xiàn)尤為明顯。 并且, 各公司發(fā)展先進(jìn)封裝技術(shù)的戰(zhàn)略也取得了不錯(cuò)的效果, NVIDIA 公司各類 GPU 產(chǎn)品線均受到巨大挑戰(zhàn)。 觀察這種趨勢(shì)對(duì)國(guó)內(nèi)半導(dǎo)體行業(yè)的發(fā)展很有參考價(jià)值, 促進(jìn) FOWLP 等先進(jìn)封裝技術(shù)的發(fā)展和應(yīng)用對(duì)于試圖參與 GPU 市場(chǎng)競(jìng)爭(zhēng)的集成電路企業(yè)是很有意義的。

159cd9f2-f21c-11ee-b759-92fbcf53809c.png

在宇航領(lǐng)域, NASA 在 2015 年公布的封裝技術(shù)路線圖中將 2. 5D/3D - TSV 技術(shù)納入封裝技術(shù)路線,2018 年啟動(dòng)對(duì) 2. 5D 封裝產(chǎn)品的考核方案和路線圖。在美國(guó)國(guó)家航空航天局電子零件和包裝計(jì)劃(NationalAeronautics and Space Administration Electronic Parts and Packaging, NEPP)的贊助下, 美國(guó)加州理工學(xué)院噴氣動(dòng) 力 實(shí) 驗(yàn) 室 ( California Instituteof Technology JetPropulsion Laboratory, JPL) 的Ghaffarian 通過(guò)一系列的可靠性實(shí)驗(yàn)系統(tǒng)論證了 2. 5D 封裝產(chǎn)品的可靠性,他認(rèn)為 FOWLP 產(chǎn)品擁有更小的體積重量、 更大的邏輯運(yùn)算和存儲(chǔ)能力, 而且其可靠性鑒定結(jié)果足以滿足NASA 對(duì)空間飛行器電子元器件的可靠性要求, 并希望他的研究成果可以促進(jìn) NASA 對(duì) FOWLP 等先進(jìn)封裝技術(shù)的使用。

我國(guó)半導(dǎo)體封裝企業(yè)也在 FOWLP 領(lǐng)域取得了一系列創(chuàng)新成果。 硅基扇出型晶圓級(jí)封裝(Embedded Silicon Fan-out, eSiFO)是 2015 年由華天科技于大全教授提出。硅基扇出型封裝這一技術(shù)路線相比于樹(shù)脂扇出型封裝, 具有成本低、 翹曲小、 布線密度高、 散熱良好和制程簡(jiǎn)單等優(yōu)勢(shì), 更容易實(shí)現(xiàn)大芯片系統(tǒng)集成。 該工藝被使用于電源管理芯片、 射頻收發(fā)器芯片、基帶處理器和高端網(wǎng)絡(luò)系統(tǒng)等多種應(yīng)用領(lǐng)域,廈門云天半導(dǎo)體開(kāi)發(fā)了 eGFO 嵌入式玻璃封裝技術(shù)。 玻璃絕緣性好, 高溫下不易膨脹, 透光性強(qiáng), 適合高頻的射頻應(yīng)用和光電應(yīng)用。玻璃上可以直接做光路, 滿足光電共封裝的需求, 光電射頻領(lǐng)域也是云天eGFO 技術(shù)的主要應(yīng)用領(lǐng)域。 但是玻璃具有易開(kāi)裂的特性, 在玻璃上開(kāi)孔和挖出嵌埋區(qū)域工藝難度高、效率低。 產(chǎn)品良率是目前制約廈門云天使用玻璃作為載體的最大因素。

中電五十八所的晶圓級(jí)封裝工藝平臺(tái)是目前國(guó)內(nèi)先進(jìn)、 自主可控的高可靠 12 英寸(兼容 8 英寸)晶圓級(jí)制造加工線, 同時(shí)也是國(guó)防科技工業(yè)認(rèn)可的信息處理微系統(tǒng)加工制造平臺(tái)。 自 2017 年通線以來(lái), 平臺(tái)已經(jīng)具備高可靠 12 英寸的晶圓級(jí)再布線制備、 晶圓級(jí)凸點(diǎn)制備、 圓片重構(gòu)等多項(xiàng)晶圓級(jí)扇出型集成技術(shù)。部分工藝技術(shù)能力(晶圓級(jí)封裝尺寸、 凸點(diǎn)直徑等)達(dá)到國(guó)際領(lǐng)先水平。同時(shí)具備有鉛低輻射凸點(diǎn)(Bump)制備、 單芯片批量化凸點(diǎn)生長(zhǎng)以及可重構(gòu)三維封裝等特色服務(wù), 可滿足軍用及宇航長(zhǎng)壽命使用要求, 已先后為國(guó)內(nèi)多家用戶單位提供了 GNC 飛行控制、 AD/ DA集成、 信號(hào)截取處理模塊等數(shù)字信息處理微系統(tǒng)產(chǎn)品的加工服務(wù), 滿足了相關(guān)微系統(tǒng)產(chǎn)品小型化、 高性能、高可靠的應(yīng)用需求。

航天 772 所通過(guò)近幾年技術(shù)攻關(guān)和自主研發(fā), 已初步具備小尺寸多芯粒硅基集成技術(shù)能力。 針對(duì)Chiplet 芯粒集成多級(jí)互連要求, 面向 40 μm 以下超微凸點(diǎn)鍵合,突破面陣列固態(tài)互連等關(guān)鍵技術(shù), 實(shí)現(xiàn)了低溫焊接、 高溫服役的全流程穩(wěn)定工藝; 并采用耐濕“內(nèi)防護(hù)” 結(jié)構(gòu)以及改性耐高溫材料, 實(shí)現(xiàn)了節(jié)距小于 100 μm, 凸點(diǎn)數(shù)大于 10000 個(gè)的均勻沉積和致密防滲集成工藝。

通富微電 2021 年披露其在高性能計(jì)算領(lǐng)域建成了國(guó)內(nèi)頂級(jí) 2. 5D/3D 封裝平臺(tái)(VISions) 及超大尺寸FCBGA 研發(fā)平臺(tái), 且完成了高層數(shù)再布線技術(shù)的開(kāi)發(fā), 具備大規(guī)模生產(chǎn) Chiplet 封裝能力, 目前在 CPU、GPU、服務(wù)器領(lǐng)域 5 nm 即將量產(chǎn)。 其 Fan-out 技術(shù)已經(jīng)達(dá)到世界先進(jìn)水平, 高密度扇出型封裝平臺(tái)完成 6層RDL 開(kāi)發(fā)。

XDFOI 是長(zhǎng)電科技 2021 年推出全系列極高密度扇出型封裝解決方案, 并于 2022 年下半年量產(chǎn)。 該封裝解決方案是新型無(wú)硅通孔晶圓級(jí)極高密度封裝技術(shù),相較于 2. 5D 硅通孔(TSV) 封裝技術(shù), 具備更高性能、更高可靠性以及更低成本等特性。 該解決方案在線寬或線距達(dá)到 2 μm 的同時(shí), 可實(shí)現(xiàn)多層布線層, 另外,采用了極窄節(jié)距凸塊互聯(lián)技術(shù), 封裝尺寸大可集成多顆芯片、 高帶寬內(nèi)存和無(wú)源器件。 XDFOI 主要集中于對(duì)集成度和算力有較高要求的 FPGA、 CPU、 GPU、 AI5G 網(wǎng)絡(luò)芯片等應(yīng)用產(chǎn)品提供小芯片 (Chiplet) 和異質(zhì)封裝 (HiP) 的系統(tǒng)封裝解決方案。 2022 年 7 月 22日, 長(zhǎng)電科技發(fā)文稱, 公司在先進(jìn)封測(cè)技術(shù)領(lǐng)域取得新的突破, 實(shí)現(xiàn) 4 nm 工藝制程手機(jī)芯片的封裝以及CPU、 GPU 和射頻芯片的集成封裝。

我國(guó) FOWLP 技術(shù)與國(guó)外先進(jìn)水平相比差距較小,且各企業(yè)均有自身的特色技術(shù), 但在高密度重布線和超大面積扇出型封裝技術(shù)等領(lǐng)域尚有差距。

2關(guān)鍵工藝和可靠性評(píng)價(jià)

FOWLP 的工藝流程復(fù)雜, 包括晶圓重構(gòu)、塑封、重布線等, 每一步關(guān)鍵工藝都會(huì)對(duì)封裝可靠性造成嚴(yán)重影響。

晶圓重構(gòu)是指將從晶圓上分割下來(lái)的晶粒重新貼裝在臨時(shí)載體上形成重構(gòu)晶圓, 如圖 3 所示。 晶圓重構(gòu)技術(shù)要求較好的定位精度, 既需要良好的粘貼強(qiáng)度,也需要易于剝離, 否則會(huì)導(dǎo)致芯片偏移[6] 。

15adb5a6-f21c-11ee-b759-92fbcf53809c.png

塑封工藝可以保護(hù)芯片并擴(kuò)展芯片面積, 環(huán)氧塑封料會(huì)在受熱后液化, 包裹住晶粒, 并在冷卻后固化。環(huán)氧塑封料的熱膨脹系數(shù)與其他材料之間存在較大的不匹配, 注塑時(shí)產(chǎn)生的液體流動(dòng)也可能會(huì)改變晶粒位置, 造成晶圓翹曲和芯片偏移。

重布線技術(shù)是實(shí)現(xiàn)扇出效果的關(guān)鍵技術(shù), 如圖 4所示, 該技術(shù)首先在晶粒表面覆上鈍化層和 PI 層, 再通過(guò)金屬濺射、 掩膜曝光的方法制造金屬層圖案, 并使用電鍍法填充金屬層, 反復(fù)多次,在晶粒和塑封料表面交替制作金屬層和聚酰亞胺層, 最終形成多層重布線層, 實(shí)現(xiàn)對(duì) I/ O 接口的重新排布[8] 。 由于金屬和聚酰亞胺熱膨脹系數(shù)不同, 在溫度變化時(shí)若重布線層強(qiáng)度不足會(huì)引發(fā)重布線層開(kāi)裂。

15bd1f78-f21c-11ee-b759-92fbcf53809c.png

FOWLP 在應(yīng)用過(guò)程中常見(jiàn)的失效模式包括重布線層分層和焊球開(kāi)裂。 菊花鏈測(cè)試鏈路可以實(shí)時(shí)監(jiān)測(cè)和定位 FOWLP 失效 [9] , 便于后續(xù)對(duì)失效封裝結(jié)構(gòu)失效分析。 溫度循環(huán)試驗(yàn)和沖擊試驗(yàn)可以充分暴露潛在失效。

菊花鏈(Daisy Chain)測(cè)試鏈路是表征先進(jìn)封裝結(jié)構(gòu)可靠性的常用方法, 它可以高效率地實(shí)時(shí)監(jiān)測(cè)封裝結(jié)構(gòu)是否在環(huán)境測(cè)試中發(fā)生失效, 并鎖定發(fā)生失效的大概位置。菊花鏈測(cè)試鏈路可以在 I/ O 接口中建立多條鏈路, 一旦封裝結(jié)構(gòu)在某處發(fā)生失效, 就會(huì)改變?cè)撎幍臋M截面積、 長(zhǎng)度和電氣參數(shù), 失效位置所在鏈路的電流也會(huì)因?yàn)?a target="_blank">電阻值的改變而變化, 從而實(shí)現(xiàn)對(duì)封裝結(jié)構(gòu)失效狀態(tài)的監(jiān)控和失效位置的估計(jì)。

在發(fā)現(xiàn)失效現(xiàn)象后可以使用超聲波掃描電子顯微鏡 (SAM)、 掃描電子顯微鏡 (SEM) 、 能量色散 X射線光譜儀(EDX)、 X 射線能譜儀 (EDS)等工具和手段對(duì)失效點(diǎn)位進(jìn)行定位和失效分析。 超聲波掃描電子顯微鏡和能量色散 X 射線光譜儀可以精準(zhǔn)定位失效位置。 掃描電子顯微鏡可以得到失效點(diǎn)位的清晰圖像,有助于了解斷裂、分層、 錯(cuò)位等現(xiàn)象的具體原因。 通過(guò)能譜分析對(duì)失效點(diǎn)位附近材料的成分進(jìn)行分析, 可以追溯因工藝流程中原材料純度不足、對(duì)上一工藝環(huán)節(jié)殘余物清洗和剝離不夠充分或生產(chǎn)環(huán)境不夠純凈等原因?qū)е碌氖А?/p>

溫度循環(huán)試驗(yàn)和沖擊試驗(yàn)可以使?jié)撛诘牟季€層分層和焊球開(kāi)裂失效充分暴露。 重布線層中的金屬層和聚酰亞胺層在溫度循環(huán)測(cè)試時(shí)反復(fù)膨脹收縮, 二者熱膨脹系數(shù)不同, 若重布線層強(qiáng)度不足則會(huì)開(kāi)裂。 沖擊和溫度循環(huán)導(dǎo)致焊球處發(fā)生熱應(yīng)力和機(jī)械應(yīng)力集中,若產(chǎn)品設(shè)計(jì)不合理或封裝工藝不達(dá)標(biāo), 則會(huì)導(dǎo)致焊球開(kāi)裂 [10] 。 根據(jù)聯(lián)合電子器件工程委員會(huì)(Joint Electron DeviceEngineering Council, JEDEC)發(fā)布的有關(guān)標(biāo)準(zhǔn)JESD22-A104 [11] , 封裝可靠性測(cè)試中溫度循環(huán)試驗(yàn)的熱范圍為-40~125 ℃, 循環(huán)測(cè)試的次數(shù)均為 1000 次,沖擊測(cè)試的強(qiáng)度為 1500 g/ ms。 在實(shí)際工作中, 可靠性環(huán)境試驗(yàn)會(huì)根據(jù)集成電路產(chǎn)片生命周期中所經(jīng)歷的環(huán)境進(jìn)行調(diào)整, 比如航空航天電子產(chǎn)品會(huì)對(duì)沖擊強(qiáng)度測(cè)試進(jìn)行加嚴(yán), 汽車電子產(chǎn)品可能會(huì)擴(kuò)大溫度循環(huán)范圍或增加高溫存儲(chǔ)實(shí)驗(yàn)(HTS)等項(xiàng)目。有些集成電路產(chǎn)品在生產(chǎn)流程中會(huì)使用一些特殊工藝, 產(chǎn)品可能會(huì)經(jīng)歷極端環(huán)境變化,這也需要調(diào)整測(cè)試環(huán)境。 有針對(duì)性的可靠性測(cè)試有助于精準(zhǔn)的評(píng)價(jià)封裝結(jié)構(gòu)的可靠性,從而合理地對(duì)結(jié)構(gòu)和工藝或劃定適應(yīng)的產(chǎn)品貯存、運(yùn)輸和工作環(huán)境范圍。

3主要工藝缺陷和失效模式

分析晶圓翹曲、 芯片偏移兩種工藝缺陷和重布線層分層、 焊球開(kāi)裂兩類失效模式的作用機(jī)理并建構(gòu)物理模型是進(jìn)行工藝改進(jìn)和可靠性優(yōu)化改進(jìn)的基礎(chǔ)。

3. 1晶圓翹曲

晶圓翹曲是指重構(gòu)晶圓在加工過(guò)程因熱機(jī)械應(yīng)力的累積而在宏觀上產(chǎn)生翹曲。 晶圓翹曲會(huì)降低后續(xù)掩膜光刻的工藝精度, 限制再布線層密度的提升 [12] 。 翹曲產(chǎn)生的應(yīng)力易在中介層或焊點(diǎn)處集中, 造成焊球開(kāi)裂脫落和中介層分層。 晶圓尺寸越大, 晶圓所受的熱和機(jī)械應(yīng)力越強(qiáng), 局部曲率越高, 翹曲現(xiàn)象越嚴(yán)重。隨著大尺寸晶圓在晶圓級(jí)封裝的應(yīng)用, 晶圓翹曲問(wèn)題已經(jīng)成為制約 FOWLP 發(fā)展的突出問(wèn)題 [13] 。

由于晶圓表面易被劃傷, 業(yè)界通常采用光學(xué)手段對(duì)晶圓翹曲進(jìn)行測(cè)量, 依據(jù)原理可以大致劃分為光學(xué)干涉測(cè)量和激光掃描測(cè)量, 前者包括影子云紋法(Shadow Moire)、 投影云紋法(Projection Moire)和泰曼-格林干涉法(Twyman-Green)等 [14] , 通過(guò)光柵干涉表征晶圓曲率, 后者則是通過(guò)激光對(duì)晶圓整體進(jìn)行掃描定位, 最后通過(guò)計(jì)算機(jī)擬合出晶圓翹曲的情況。

采用合適的方法對(duì)重構(gòu)晶圓所含材料進(jìn)行測(cè)量和表征是分析引發(fā)晶圓翹曲多種應(yīng)力的前提。Cheng等 [15-16] 使 用 差 示 掃 描 量 熱 法 ( Differential Scanning Calorimetry, DSC ) 和 動(dòng) 態(tài) 力 學(xué) 分 析 ( Dynamic Mechanical Analysis, DMA)評(píng)估固化狀態(tài), 使用熱機(jī)械分析儀(Thermomechanical Analyzer, TMA) 表征了環(huán)氧塑封料的楊氏模量和熱膨脹系數(shù), 為分析各類應(yīng)力的強(qiáng)度和不同翹曲形態(tài)的成因提供了有力支持。

環(huán)氧塑封料固化引發(fā)的體積收縮和不同材料的熱膨脹系數(shù)不匹配被公認(rèn)為是造成晶圓翹曲的最主要原因 [17] , 但隨著研究的深入, 尤其是通過(guò)分析傳統(tǒng)理論模型和實(shí)際結(jié)果之間的偏差, 近年來(lái)發(fā)現(xiàn)了更多影響晶圓翹曲的因素。 Dijk 等 [18] 和 Chiu 等 [19] 發(fā)現(xiàn)硅的各向異性和環(huán)氧塑封料的粘彈性松弛效應(yīng)對(duì)翹曲也有一定影響, 固化工藝之后的工藝環(huán)節(jié), 尤其是再布線和植球等存在劇烈溫度變化的工藝會(huì)對(duì)晶圓翹曲產(chǎn)生影響。 Chiu 還通過(guò)實(shí)驗(yàn)和建模分析認(rèn)為環(huán)氧塑封料的化學(xué)收縮對(duì)翹曲的影響微不足道。Cheng 等 [15-16] 通過(guò)分析環(huán)氧塑封料的固化過(guò)程發(fā)現(xiàn)重力會(huì)對(duì)晶圓翹曲產(chǎn)生影響。

張振越等 [20] 基于雙層圓形板彎曲理論與復(fù)合材料等效方法, 提出 FOWLP 圓片翹曲理論解析表達(dá)式。實(shí)現(xiàn)對(duì)翹曲的快速預(yù)測(cè)。 Chen 等 [21] 使用頻域動(dòng)態(tài)力學(xué)分析表征了 EMC 和 PI 的線性粘彈性, 將粘彈性模型用于對(duì)固化結(jié)構(gòu)的有限元分析, 實(shí)現(xiàn)了對(duì)晶圓翹曲的精準(zhǔn)預(yù)測(cè)。 Li 等 [22] 結(jié)合材料微觀力學(xué)模型, 針對(duì)異構(gòu)集成結(jié)構(gòu)建立物理模型, 通過(guò)建模調(diào)整參數(shù)使得 8英寸晶圓翹曲控制在 2 mm 以內(nèi)。

近幾年來(lái), 業(yè)界深入分析了晶圓翹曲的成因, 表征了翹曲程度和引發(fā)翹曲的應(yīng)力, 支撐了業(yè)界工藝流程參數(shù)優(yōu)化。

3. 2芯片偏移

芯片偏移是指晶粒偏離應(yīng)有位置。 芯片偏移現(xiàn)象可以通過(guò)高倍顯微鏡觀察發(fā)現(xiàn), 這既可能是晶圓重構(gòu)精度不足造成的, 也有可能是后續(xù)工藝環(huán)節(jié)產(chǎn)生的應(yīng)力導(dǎo)致的。 引發(fā)芯片偏移的應(yīng)力主要包含兩種。 主要的一種是由固化成型期間環(huán)氧塑封料的流動(dòng)誘導(dǎo)阻力引起的流體流動(dòng)(Fluid Flow, FF)。 另一個(gè)是由封裝的熱膨脹/ 收縮、 環(huán)氧塑封料的固化收縮和晶圓翹曲引起的熱機(jī)械(Thermo-Mechanical, TM)應(yīng)力 [23] 。 與晶圓翹曲類似, 芯片偏移也會(huì)降低再布線工藝中光刻的工藝精度, 并導(dǎo)致芯片鏈路產(chǎn)生開(kāi)路。

為了分析兩種應(yīng)力對(duì)芯片偏移的影響, 業(yè)界通過(guò)建模和試驗(yàn)對(duì)兩種應(yīng)力的強(qiáng)度和作用機(jī)制進(jìn)行了分析。Cheng 等 [15-16] 基于某種環(huán)氧塑封料建立了流體動(dòng)力學(xué)模型用以研究液體流動(dòng)對(duì)芯片偏移的影響, 發(fā)現(xiàn)重構(gòu)晶圓邊緣處的晶粒芯片偏移最為嚴(yán)重, 分析認(rèn)為熱機(jī)械效應(yīng)和誘導(dǎo)阻力造成的應(yīng)力具有相似的作用機(jī)制,都是越靠近邊緣應(yīng)力越大。 Wu 等[24] 通過(guò)建模比較了熱機(jī)械應(yīng)力和流體流動(dòng)的強(qiáng)度, 認(rèn)為熱機(jī)械效應(yīng)對(duì)芯片偏移產(chǎn)生更多影響。 Ouyang 等 [25] 根據(jù)目前已經(jīng)發(fā)現(xiàn)的影響芯片偏移的應(yīng)力, 針對(duì)聚二甲基硅氧烷這一特殊的塑封料建立流體動(dòng)力學(xué)模型, 實(shí)現(xiàn)對(duì)塑封過(guò)程中翹曲變化的預(yù)測(cè)。 Shao 等 [26] 通過(guò)比對(duì)不同種類粘合劑的粘附強(qiáng)度和使用不同粘合劑對(duì)芯片偏移產(chǎn)生的影響, 發(fā)現(xiàn)晶圓重構(gòu)工藝中臨時(shí)載體的黏附力不足是造成芯片偏移問(wèn)題最直接的原因。

相較于晶圓翹曲, 引發(fā)芯片偏移的應(yīng)力種類較少,芯片偏移的失效機(jī)制較為清晰。

3. 3焊點(diǎn)開(kāi)裂

由于 FOWLP 的 I/ O 接口數(shù)量越來(lái)越多, FOWLP的尺寸和焊點(diǎn)密度均有所提升。 在焊點(diǎn)的體積變小的同時(shí)單個(gè)焊點(diǎn)所受應(yīng)力越來(lái)越高, 所以焊點(diǎn)的可靠性問(wèn)題日益突出。

對(duì)焊點(diǎn)進(jìn)行建模有助于對(duì)焊點(diǎn)可靠性進(jìn)行預(yù)計(jì),便于工藝改進(jìn)和設(shè)計(jì)優(yōu)化, Lau 等 [27] 建立了非線性、時(shí)間和溫度相關(guān)的三維有限元模型, 采用線性加速因子將測(cè)試條件下的焊點(diǎn)可靠性映射到操作條件下的焊接點(diǎn)可靠性, 得到了置信度為 90%的封裝特征壽命。Wang 等[28] 基于能量法描述和預(yù)測(cè)焊點(diǎn)形狀, 當(dāng)液體達(dá)到靜態(tài)平衡時(shí),其總能量趨于最低, 表面積趨于最小。 液體的能量主要包括表面張力能、 重力能和外能。根據(jù)總能量, 可以計(jì)算重力方向上的恢復(fù)力, 進(jìn)而估算焊球的形狀和高度, 并基于 Coffin-Manson 應(yīng)變的經(jīng)驗(yàn)?zāi)P凸烙?jì)焊點(diǎn)的疲勞壽命。 Lee 等 [29] 建立了一種非線性模擬方法, 用于研究制造過(guò)程和熱循環(huán)實(shí)驗(yàn)的耦合效應(yīng)。 在有限元建模中分析了焊料凸臺(tái)的塑性和蠕變行為, 研究了 SnAg 焊料凸臺(tái)中累積的非彈性應(yīng)變。 還對(duì)相關(guān)幾何尺寸和底部填充材料進(jìn)行了參數(shù)化分析。

在不同環(huán)境壓力下, 焊球的失效模式表現(xiàn)不同。Cho 等 [30] 通過(guò)可靠性試驗(yàn)發(fā)現(xiàn)在跌落試驗(yàn)中, 焊球界面斷裂和 PCB 焊盤出現(xiàn)凹坑是主要失效模式, 而在溫度循環(huán)試驗(yàn)中, 焊球界面斷裂和重布線層出現(xiàn)裂紋是主要失效模式。

除此之外, 在對(duì)焊球質(zhì)量的批量化監(jiān)測(cè)方面, Lu等 [31] 用掃描聲學(xué)顯微鏡對(duì)樣本進(jìn)行測(cè)試, 并使用聚類模糊 C 均值(FCM)算法對(duì)焊點(diǎn)進(jìn)行識(shí)別。訓(xùn)練所得學(xué)習(xí)模型可應(yīng)用于高密度焊點(diǎn)可靠性的快速檢測(cè)。

業(yè)界對(duì)焊點(diǎn)可靠性的研究相對(duì)成熟, 對(duì)引發(fā)焊點(diǎn)應(yīng)力集中的作用機(jī)制已有充足的探索, 建立了可信度較高的焊點(diǎn)物理模型。

3. 4重布線層分層

重布線層中最常用的有機(jī)材料包括聚酰亞胺(Polyimide, PI)、 聚苯并惡唑(Polybenzoxazole, PBO)和苯并環(huán)丁烯(Benzocyclobutene,BCB), 除此之外還有酚醛樹(shù)脂等材料, 其中 PBO 機(jī)械強(qiáng)度最高但是熱膨脹系數(shù)過(guò)高, BCB 勝在優(yōu)良的電學(xué)性能, 但是機(jī)械強(qiáng)度最差。 Gao 等 [32] 將酚醛樹(shù)脂等三種材料與 PI 進(jìn)行比較, 認(rèn)為 PI 具有超過(guò) 30%的優(yōu)異伸長(zhǎng)率和 52×10-6/ K的熱膨脹系數(shù), 是目前性能最均衡的 RDL 有機(jī)材料。PI 是目前重布線層中最常用的有機(jī)材料。 但是 PI 固化溫度過(guò)高, 普通的 PI 固化溫度超過(guò) 300℃, 經(jīng)過(guò)改良的低溫固化 PI 的固化溫度也在 200 ℃以上, 對(duì)工藝設(shè)計(jì)的優(yōu)化要求較高。 Okada 等 [33] 通過(guò)試驗(yàn)比較了兩種PI、PBO 和酚醛樹(shù)脂在銅表面的臨界能量釋放率, 并根據(jù)實(shí)驗(yàn)曲線建立了可以模擬任意溫度下分層可能性的仿真模型。

重布線層分層常發(fā)生在溫度循環(huán)測(cè)試之后, 重布線層不同材料層經(jīng)歷反復(fù)的熱脹冷縮, 材料間界面的疲勞應(yīng)力導(dǎo)致開(kāi)裂。 沖擊測(cè)試中也會(huì)發(fā)現(xiàn)重布線層分層現(xiàn)象, Lau 等 [34] 通過(guò)試驗(yàn)估算了重布線層的特征壽命, 并發(fā)現(xiàn)封裝最大應(yīng)力出現(xiàn)在受到?jīng)_擊后的 0. 0023s, 位置在封裝四角, 最下層的重布線層所受應(yīng)力最大, 易發(fā)生開(kāi)裂現(xiàn)象。

重布線層分層是扇出型封裝中常見(jiàn)的失效模式,但是重布線層結(jié)構(gòu)和重布線工藝流程復(fù)雜, 結(jié)構(gòu)和材料個(gè)性化強(qiáng), 重布線層仿真難度高, 需要針對(duì)不同的封裝結(jié)構(gòu)單獨(dú)進(jìn)行分析。

4工藝改進(jìn)和可靠性優(yōu)化設(shè)計(jì)

通過(guò)實(shí)驗(yàn)和仿真可以快速對(duì)工藝進(jìn)行迭代, 優(yōu)化工藝參數(shù), 從而提升產(chǎn)品良率。 依靠成熟的失效物理模型對(duì)封裝結(jié)構(gòu)進(jìn)行優(yōu)化是改善產(chǎn)品可靠性的有效方法。

4. 1工藝改進(jìn)

晶圓翹曲的曲率、 形狀和方向在制造工藝中經(jīng)歷了劇烈的變化, 其中最大翹曲并沒(méi)有發(fā)生在其工藝流程結(jié)束時(shí), 而是發(fā)生在去載流子環(huán)節(jié), 所以對(duì)于高產(chǎn)率工藝操作, 應(yīng)連續(xù)監(jiān)測(cè)和有效控制每個(gè)工藝步驟的翹曲, 而不是僅進(jìn)行末端監(jiān)控。 Wu 等 [35] 使用可調(diào)溫度熱室模擬重構(gòu)晶圓在后續(xù)工藝環(huán)節(jié)中經(jīng)歷的溫度變化, 并改進(jìn)了一種干涉測(cè)量工具, 實(shí)現(xiàn)對(duì)晶圓翹曲的全局熱變形分析, 從而改進(jìn)工藝參數(shù)。 Cheng 等[15-16]通過(guò)實(shí)驗(yàn)發(fā)現(xiàn)晶圓厚度與翹曲程度并非簡(jiǎn)單的線性關(guān)系, 當(dāng)晶圓厚度低于 0. 6 mm 時(shí), 晶圓越厚, 材料熱失配導(dǎo)致的晶圓翹曲程度越高, 但是由于晶圓本身的彎曲剛度與晶圓厚度的平方成正比, 所以當(dāng)晶圓厚度超過(guò) 0. 6 mm 時(shí), 晶圓的彎曲剛度會(huì)隨厚度快速上升,從而抑制晶圓翹曲。 通過(guò)對(duì)生產(chǎn)工藝的觀察, Cheng等還注意到重構(gòu)晶圓上晶粒間距越大, 晶粒邊長(zhǎng)越長(zhǎng),翹曲越小。

引發(fā)芯片偏移的兩大要素分別是液體流動(dòng)和熱機(jī)械效應(yīng)。 通過(guò)降低塑封工藝速度和模具固化溫度、 縮小晶粒間距和晶粒厚度以及增加環(huán)氧塑封料的厚度,可以減少液體流動(dòng)帶來(lái)的阻力, 從而減少芯片偏移。通過(guò)縮小環(huán)氧塑封料厚度, 使用低熱膨脹系數(shù)的臨時(shí)載體, 增加晶粒的間距和厚度, 可以減少熱機(jī)械效應(yīng)引起的芯片偏移。 可以看到減少液體流動(dòng)阻力的措施與減少熱機(jī)械效應(yīng)的措施之間存在沖突, 所以需要對(duì)工藝參數(shù)進(jìn)行權(quán)衡。 針對(duì)不同的封裝結(jié)構(gòu)反復(fù)建模和試驗(yàn), 才能使芯片偏移最小化 [36] 。

Zhang 等 [37] 通過(guò)大量的材料學(xué)試驗(yàn)尋找植球工藝的最佳參數(shù), 在低焊接溫度和短焊接時(shí)間下, 焊點(diǎn)和焊盤之間的冶金反應(yīng)不足, 剪切力低。 隨著焊接溫度和時(shí)間的增加, 焊料球和焊盤之間的反應(yīng)更完全, 機(jī)械性能逐漸提高。 然而, 隨著峰值溫度和持續(xù)時(shí)間的增加, 焊料球的氧化程度增加, 導(dǎo)致焊點(diǎn)出現(xiàn)孔洞,焊球下金屬間化合物厚度增加, 導(dǎo)致脆性增加, 剪切力降低。 經(jīng)過(guò)權(quán)衡, 在 260℃下, (Cu, Ni) 6 Sn 5 晶體呈現(xiàn)規(guī)則的分布, 金屬間化合物達(dá)到一定的密度, 同時(shí)也較少產(chǎn)生孔洞, 所以 260℃持續(xù) 10 s 是一個(gè)比較優(yōu)秀的焊接參數(shù)。

重布線工藝中生產(chǎn)環(huán)境潔凈度不足或?qū)η耙还に嚟h(huán)節(jié)殘余物清洗不徹底, 導(dǎo)致重布線層內(nèi)部出現(xiàn)沾污是導(dǎo)致重布線層分層的原因之一。在工藝環(huán)節(jié)中應(yīng)嚴(yán)格監(jiān)控生產(chǎn)環(huán)境, 及時(shí)使用能譜分析技術(shù)分析重布線層分層的封裝器件, 找出引發(fā)分層的有機(jī)化合物并追溯來(lái)源, 并及時(shí)整改是十分有必要的。 在重布線工藝中選擇熱膨脹系數(shù)與金屬層匹配度較高、分界層粘性大的有機(jī)材料是減少分層的關(guān)鍵。 減材制造是制備重布線層的傳統(tǒng)方法, Roshanghias 等 [38] 嘗試使用打印技術(shù)實(shí)現(xiàn)重布線層的增材制造, 認(rèn)為新的工藝方法在抵抗重布線層分層問(wèn)題上具有潛力, 并討論了增材制造技術(shù)面臨的工藝難點(diǎn)。

4. 2可靠性優(yōu)化設(shè)計(jì)

除了進(jìn)行工藝參數(shù)的改進(jìn), 通過(guò)對(duì)封裝結(jié)構(gòu)進(jìn)行可靠性優(yōu)化設(shè)計(jì)也能有效提升 FOWLP 的可靠性。Tsai 等[39] 發(fā)現(xiàn), 在 FOWLP 上粘貼一個(gè)金屬框架可以降低封裝翹曲和底部焊點(diǎn)所受應(yīng)力。但是金屬框架的熱膨脹系數(shù)和金屬框架粘結(jié)劑的彈性模量會(huì)對(duì)效果產(chǎn)生影響, 所以應(yīng)根據(jù)情況謹(jǐn)慎選擇金屬框架材料,粘合劑彈性模量應(yīng)控制在 50 MPa 以下。

晶圓翹曲和芯片偏移均與模塑化合物的性能相關(guān),Kan 等 [40] 分析了使用液體模塑化合物 (Liquid Molding Compound,LMC)取代 EMC 的可能性, LMC 流動(dòng)性強(qiáng)有助于抑制晶圓翹曲且成本更低, 但是對(duì)模塑工藝的工藝控制要求更高。 Andriani 等 [41] 通過(guò)在 EMC 中添加六方氮化硼來(lái)改善 EMC 的熱力學(xué)性能, 并分析了不同濃度的六方氮化硼對(duì) EMC 熱力學(xué)性能的影響。

Jung 等 [42] 通過(guò)在環(huán)氧塑封料中嵌入不同形狀的銀片有效降低翹曲, 改善芯片散熱。 環(huán)氧塑封料導(dǎo)熱性差, 在高溫固化時(shí)固化速度不均勻, 加入銀片可以使固化速度更加均勻, 從而減輕翹曲。 位于晶粒正上方扇入?yún)^(qū)域的銀片還可以顯著改善散熱, 但在扇入?yún)^(qū)域嵌入銀片也會(huì)增加封裝整體厚度。

通常認(rèn)為使用散熱器可以降低芯片的實(shí)際工作溫度, 有助于緩解因熱機(jī)械效應(yīng)導(dǎo)致的封裝失效。但是Nayini 等 [43] 將使用散熱器和不使用散熱器的封裝結(jié)構(gòu)進(jìn)行了對(duì)照, 發(fā)現(xiàn)加裝散熱器可能會(huì)導(dǎo)致焊點(diǎn)壽命下降, 因?yàn)樯崞鲿?huì)限制芯片變形, 將芯片內(nèi)部因熱失配產(chǎn)生的應(yīng)力傳遞給焊點(diǎn)。 雖然高溫會(huì)減少焊點(diǎn)壽命,但是不合適的散熱方法可能會(huì)進(jìn)一步加劇可靠性問(wèn)題。

Lee 等 [29] 發(fā)現(xiàn)上、下焊盤直徑和緩沖層厚度顯著影響扇出型封裝焊點(diǎn)的可靠性壽命。 當(dāng)上焊盤大于下焊盤時(shí), 焊點(diǎn)的可靠性更高, 推薦的上下焊盤大小比例為 1 ∶ 0. 72, 較厚的緩沖層分擔(dān)了焊點(diǎn)的應(yīng)力集中,從而對(duì)焊點(diǎn)的可靠性壽命產(chǎn)生了積極影響。 較薄的芯片厚度可以釋放焊點(diǎn)的應(yīng)變芯片, 減小焊料凸塊的非彈性應(yīng)變?cè)隽? 低楊氏模量底部填充材料也可以起到應(yīng)力緩沖的作用。

Lee 等 [44] 提出了三種無(wú)直角轉(zhuǎn)彎的重布線層設(shè)計(jì)方案, 并與含有直角轉(zhuǎn)彎布線的原始方案進(jìn)行對(duì)比,發(fā)現(xiàn)合理的重布線層設(shè)計(jì)方案可以避免局部積熱, 改善重布線層的力學(xué)性能, 提升了在溫度循環(huán)測(cè)試中的可靠性。

5總結(jié)與展望

FOWLP 已在消費(fèi)級(jí)和工業(yè)級(jí)半導(dǎo)體制造領(lǐng)域大規(guī)模應(yīng)用, 也在高可靠領(lǐng)域得到了初步應(yīng)用, 是未來(lái)商業(yè)競(jìng)爭(zhēng)的重點(diǎn)方向。 學(xué)術(shù)界和工業(yè)界已經(jīng)針對(duì)不同失效現(xiàn) 象 建 立 了 FOWLP 的 可 靠 性 物 理 模 型, 對(duì)FOWLP 的失效機(jī)理有了較為清晰的認(rèn)識(shí), 針對(duì)性地進(jìn)行了工藝改進(jìn)和可靠性設(shè)計(jì)優(yōu)化。但是由于封裝結(jié)構(gòu)復(fù)雜度的提升以及多種應(yīng)力耦合關(guān)系過(guò)于復(fù)雜, 僅靠失效機(jī)理的相關(guān)研究已經(jīng)難以指導(dǎo)工藝和設(shè)計(jì)的深化改進(jìn)。通過(guò)機(jī)器學(xué)習(xí)深度學(xué)習(xí)將工藝過(guò)程、 設(shè)計(jì)參數(shù)與產(chǎn)品良率、 可靠性參數(shù)之間建立數(shù)學(xué)關(guān)系和數(shù)學(xué)模型, 可能是指導(dǎo)工藝和設(shè)計(jì)改進(jìn)、進(jìn)一步提升產(chǎn)品可靠性的可行之路。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 封裝
    +關(guān)注

    關(guān)注

    125

    文章

    7593

    瀏覽量

    142144
  • 晶圓級(jí)封裝
    +關(guān)注

    關(guān)注

    5

    文章

    33

    瀏覽量

    11496
  • FOWLP
    +關(guān)注

    關(guān)注

    1

    文章

    14

    瀏覽量

    9951
  • 扇出型封裝
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    2732
  • 先進(jìn)封裝
    +關(guān)注

    關(guān)注

    1

    文章

    337

    瀏覽量

    177
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    一文詳解扇出級(jí)封裝技術(shù)

    扇出級(jí)封裝技術(shù)采取在芯片尺寸以外的區(qū)域做I/O接點(diǎn)的布線設(shè)計(jì),提高I/O接點(diǎn)數(shù)量。采用RD
    發(fā)表于 09-25 09:38 ?1368次閱讀
    一文詳解<b class='flag-5'>扇出</b><b class='flag-5'>型</b><b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>技術(shù)

    級(jí)封裝的基本流程

    介紹了級(jí)封裝的基本流程。本篇文章將側(cè)重介紹不同級(jí)
    的頭像 發(fā)表于 11-08 09:20 ?8083次閱讀
    <b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>的基本流程

    HRP級(jí)先進(jìn)封裝替代傳統(tǒng)封裝技術(shù)研究(HRP級(jí)先進(jìn)封裝芯片)

    工藝技術(shù)的研究,由深圳市華芯邦科技有限公司(Hotchip)提出,可解決元器件散熱、可靠性、成本、器件尺寸等問(wèn)題,是替代傳統(tǒng)封裝技術(shù)解決方案之一。本文總結(jié)了HRP工藝的封裝特點(diǎn)和優(yōu)勢(shì),詳細(xì)介紹其工藝實(shí)現(xiàn)路線,為傳統(tǒng)
    的頭像 發(fā)表于 11-30 09:23 ?1786次閱讀
    HRP<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b>先進(jìn)<b class='flag-5'>封裝</b>替代傳統(tǒng)<b class='flag-5'>封裝</b>技術(shù)研究(HRP<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b>先進(jìn)<b class='flag-5'>封裝</b>芯片)

    用于扇出級(jí)封裝的銅電沉積

    ?工藝提供了一種經(jīng)濟(jì)高效的方式進(jìn)行單個(gè)晶片堆疊,并能產(chǎn)出高良率以及穩(wěn)固可靠的連接。在未來(lái),我們期待Durendal?工藝能促進(jìn)扇出
    發(fā)表于 07-07 11:04

    iPhone7采用的扇出級(jí)封裝技術(shù)是什么?

    傳蘋果在2016年秋天即將推出的新款智能手機(jī)iPhone 7(暫訂)上,將搭載采用扇出級(jí)
    發(fā)表于 05-06 17:59 ?4674次閱讀

    扇出級(jí)封裝能否延續(xù)摩爾定律

     摩爾定律在工藝制程方面已是強(qiáng)弩之末,此時(shí)先進(jìn)的封裝技術(shù)拿起了接力棒。扇出
    發(fā)表于 11-12 16:55 ?856次閱讀

    扇出級(jí)封裝在單個(gè)晶片堆疊中的應(yīng)用

    Durendal?工藝提供了一種經(jīng)濟(jì)高效的方式進(jìn)行單個(gè)晶片堆疊,并能產(chǎn)出高良率以及穩(wěn)固可靠的連接。在未來(lái),我們期待Durendal?工藝能促進(jìn)扇出
    的頭像 發(fā)表于 12-24 17:39 ?693次閱讀

    華天科技昆山廠級(jí)先進(jìn)封裝項(xiàng)目投產(chǎn)

    作為華天集團(tuán)級(jí)先進(jìn)封裝基地,華天昆山2008年6月落戶昆山開(kāi)發(fā)區(qū),研發(fā)的
    的頭像 發(fā)表于 01-09 10:16 ?4456次閱讀

    FuzionSC提升扇出級(jí)封裝的工藝產(chǎn)量

    扇出級(jí)封裝最大的優(yōu)勢(shì),就是令具有成千上萬(wàn)I/O點(diǎn)的半導(dǎo)體器件,通過(guò)二到五微米間隔線實(shí)現(xiàn)無(wú)縫
    的頭像 發(fā)表于 03-23 14:02 ?2052次閱讀

    三星電子已加緊布局扇出(FO)級(jí)封裝領(lǐng)域

    據(jù)業(yè)內(nèi)人士透露,三星電子已加緊布局扇出(FO)級(jí)封裝領(lǐng)域,并計(jì)劃在日本設(shè)立相關(guān)生產(chǎn)線。
    的頭像 發(fā)表于 04-10 09:06 ?1731次閱讀

    激光解鍵合在扇出級(jí)封裝中的應(yīng)用

    來(lái)源;《半導(dǎo)體芯科技》雜志 作者:黃泰源、羅長(zhǎng)誠(chéng)、鐘興進(jìn),廣東鴻浩半導(dǎo)體設(shè)備有限公司 摘要 扇出級(jí)封裝廣泛應(yīng)用于手機(jī)、車載等電子產(chǎn)品上。
    的頭像 發(fā)表于 04-28 17:44 ?1544次閱讀
    激光解鍵合在<b class='flag-5'>扇出</b><b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>中的應(yīng)用

    半導(dǎo)體后端工藝:級(jí)封裝工藝(上)

    級(jí)封裝是指切割前的工藝。
    的頭像 發(fā)表于 10-18 09:31 ?2512次閱讀
    半導(dǎo)體后端工藝:<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>工藝(上)

    扇出級(jí)封裝技術(shù)的優(yōu)勢(shì)分析

    扇出級(jí)封裝技術(shù)的優(yōu)勢(shì)在于能夠利用高密度布線制造工藝,形成功率損耗更低、功能性更強(qiáng)的芯片
    發(fā)表于 10-25 15:16 ?645次閱讀
    <b class='flag-5'>扇出</b><b class='flag-5'>型</b><b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>技術(shù)的優(yōu)勢(shì)分析

    一文看懂級(jí)封裝

    分為扇入級(jí)芯片封裝(Fan-In WLCSP)和扇出
    的頭像 發(fā)表于 03-05 08:42 ?1017次閱讀
    一文看懂<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>

    詳解不同級(jí)封裝的工藝流程

    在本系列第七篇文章中,介紹了級(jí)封裝的基本流程。本篇文章將側(cè)重介紹不同
    的頭像 發(fā)表于 08-21 15:10 ?671次閱讀
    詳解不同<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>級(jí)</b><b class='flag-5'>封裝</b>的工藝流程