0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片后仿之SDF 3.0解析(三)

全棧芯片工程師 ? 來源:全棧芯片工程師 ? 2024-04-16 11:08 ? 次閱讀

本文接著解析SDF3.0的Timing Checks Entries、Timing Environment Entries兩個(gè)部分。

(一)SDF3.0 Timing Checks主要分以下兩種:

VCS/NC-Verilog仿真在timing violation時(shí)報(bào)出warning;

Timing Sign-Off工具報(bào)出timing check violations;

以時(shí)序分析工具Sign-Off為主,后仿為輔,SDF3.0 Timing Checks具體的類型如下:

Setup Timing Check

3a7f892a-fb1a-11ee-a297-92fbcf53809c.png

Hold Timing Check

3a9371ba-fb1a-11ee-a297-92fbcf53809c.png

SetupHold Timing Check

注意,示例中~reset必須為真(Ture),timing check才會(huì)進(jìn)行,此外,12是建立時(shí)間要求,9.5是保持時(shí)間要求。

3a974114-fb1a-11ee-a297-92fbcf53809c.png

Recovery Timing Check

3aafcbda-fb1a-11ee-a297-92fbcf53809c.png

Removal Timing Check

3aba92a4-fb1a-11ee-a297-92fbcf53809c.png

Recovery/Removal Timing Check

示例中,recovery time為1.5個(gè)time unit,removal time為0.8個(gè)time unit。

3ac68988-fb1a-11ee-a297-92fbcf53809c.png

Skew Timing Check

3ad34830-fb1a-11ee-a297-92fbcf53809c.png

Width Timing Check

示例中,第一個(gè)minimum pulse width檢查是posedge clock驅(qū)動(dòng)的high phase;第二個(gè)minimum pulse width檢查是negedge clock驅(qū)動(dòng)的low phase;

3adfc3b2-fb1a-11ee-a297-92fbcf53809c.png

Period Timing Check

示例中,兩個(gè)連續(xù)上升沿之間或兩個(gè)連續(xù)下降沿之間的最小Cycle時(shí)間。

3af9813a-fb1a-11ee-a297-92fbcf53809c.png

No Change Timing Check

示例中,addr提前write下降沿4.5個(gè)time unit, addr晚于write上升沿3.5個(gè)time unit。

3b0c13b8-fb1a-11ee-a297-92fbcf53809c.png

(二)SDF3.0 Timing Environment Entries

SDF3.0 Timing Environment Entries分成Constraints與Timing Environment兩個(gè)部分,首先解析Constraints。

1.Constraints

首先,SDF3.0 Timing Environment包括以下幾類constraints:

a)Path Constraint 針對(duì)timing analysis中發(fā)現(xiàn)的關(guān)鍵路徑添加的約束,PR工具可以利用這些約束優(yōu)化physical design,該約束指定路徑的最大延時(shí); 如下圖, y.z.i3是path起點(diǎn),a.b.o1是path終點(diǎn),25.1是起點(diǎn)和終點(diǎn)之間的maximum rise delay,15.6是起點(diǎn)和終點(diǎn)之間的maximum fall delay。

3b2cb384-fb1a-11ee-a297-92fbcf53809c.png

3b4322fe-fb1a-11ee-a297-92fbcf53809c.png

b)Period Constraint

時(shí)鐘樹上common clock到其驅(qū)動(dòng)的leaf cell的路徑的最大延遲約束。

3b4e11a0-fb1a-11ee-a297-92fbcf53809c.png

3b5ffa8c-fb1a-11ee-a297-92fbcf53809c.png

c)Sum Constraint

顧名思義,指的是幾條路徑的延時(shí)之和。示例中,約束兩條net的延時(shí)之和小于67.3個(gè)time unit。

3b7239b8-fb1a-11ee-a297-92fbcf53809c.png

3b9670ee-fb1a-11ee-a297-92fbcf53809c.png

d)Skew Constraint

3ba9d1c0-fb1a-11ee-a297-92fbcf53809c.png

3bbc3888-fb1a-11ee-a297-92fbcf53809c.png

SDF3.0 Timing Environment Entries分成Constraints與Timing Environment兩個(gè)部分,上文解析了Constraints,現(xiàn)在解析Timing Environment。Timing Environment包含以下4點(diǎn)約束

a)Arrival Time

3bc6508e-fb1a-11ee-a297-92fbcf53809c.png

b)Departure Time

3be1c3c8-fb1a-11ee-a297-92fbcf53809c.png

c)Slack Time

3bf5f7d0-fb1a-11ee-a297-92fbcf53809c.png

d)Waveform Specification

3c131b30-fb1a-11ee-a297-92fbcf53809c.png



審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3972

    瀏覽量

    132967
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    78

    瀏覽量

    9537
  • 時(shí)序分析
    +關(guān)注

    關(guān)注

    2

    文章

    127

    瀏覽量

    22527
  • 時(shí)鐘樹
    +關(guān)注

    關(guān)注

    0

    文章

    53

    瀏覽量

    10711

原文標(biāo)題:芯片后仿之SDF 3.0解析(三)

文章出處:【微信號(hào):全棧芯片工程師,微信公眾號(hào):全棧芯片工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    仿出現(xiàn)了10種警告。。。求助

    仿出現(xiàn)了x態(tài),前仿過了?,F(xiàn)在還沒帶sdf呢,考慮是異常的warning,但我警告太多了。。。有的警告有一百多個(gè),截圖如下。各位大神幫忙看下,是哪種警告讓我
    發(fā)表于 05-30 16:10

    運(yùn)行實(shí)現(xiàn)時(shí)序仿真重新生成sdf文件

    / I1(0:0:0)(0:0:0))當(dāng)我重新運(yùn)行實(shí)現(xiàn)時(shí)序仿真時(shí),該sdf文件將重新生成實(shí)際/先前的延遲約束。有沒有辦法保留編輯過的sdf文件并模擬而不重新生成它?提前致謝以上來自于谷歌翻譯以下為原文
    發(fā)表于 11-07 11:30

    如何將PT產(chǎn)生的SDF文件反標(biāo)設(shè)計(jì)進(jìn)行仿真?

    RT,現(xiàn)在后端已把PR數(shù)據(jù)導(dǎo)出交付給了前端,我想問問,如何將PT產(chǎn)生的SDF文件反標(biāo)設(shè)計(jì)進(jìn)行仿真呢?現(xiàn)在知道需要將SDF文件引入到testbench里,所以問題很簡(jiǎn)單如何在testbench里加
    發(fā)表于 06-23 06:50

    怎樣用calibreview格式提取參數(shù)做仿?有哪幾種方法

    哪一種仿方法是正確的?您的意思是如果要把A、B、C這種cell放在一起仿真就必須要用到config嗎?(C應(yīng)該是calibre吧)順便請(qǐng)教一下hierarchy-editor建立的這個(gè)config具體是干嘛用的?就只是為了數(shù)
    發(fā)表于 06-24 07:08

    SDF是什么?有何應(yīng)用

    SDF-4.0隧道施工風(fēng)機(jī)技術(shù)***隧道施工風(fēng)機(jī)(SDF)系列
    發(fā)表于 09-02 09:09

    NodeMCU V3.0 Arduino開發(fā)點(diǎn)燈測(cè)試程序

    NodeMCU V3.0 Arduino開發(fā)點(diǎn)燈測(cè)試程序手頭到了一個(gè)NodeMCU v3.0,3.0版本和2.0差別不大,只是v2.0串口芯片是CP2101,而v
    發(fā)表于 11-01 06:29

    NodeMCU V3.0 Arduino開發(fā)點(diǎn)燈

    NodeMCU V3.0 Arduino開發(fā)點(diǎn)燈測(cè)試程序手頭到了一個(gè)NodeMCU v3.0,3.0版本和2.0差別不大,只是v2.0串口芯片是CP2101,而v
    發(fā)表于 10-25 18:21 ?14次下載
    NodeMCU V<b class='flag-5'>3.0</b> Arduino開發(fā)<b class='flag-5'>之</b>點(diǎn)燈

    STM8S全解析系列文章3---STM8S芯片配置,軟件框架搭建

    STM8S全解析—系列文章3.STM8S芯片項(xiàng)目軟件框架的搭建提示:這里可以添加系列文章的所有文章的目錄,目錄需要自己手動(dòng)添加例如:第一章 Python 機(jī)器學(xué)習(xí)入門pandas的使用提示:寫完
    發(fā)表于 12-03 14:21 ?7次下載
    STM8S全<b class='flag-5'>解析</b><b class='flag-5'>之</b>系列文章3---STM8S<b class='flag-5'>芯片</b>配置,軟件框架搭建

    ZigBee3.0數(shù)據(jù)包解析

    的,無法解析每個(gè)數(shù)據(jù)包含義,所以此抓包方法在ZigBee3.0開發(fā)過程的幫助不大。若采用第方抓包軟件Ubiqua這個(gè)工具,就可以解析ZigBee3
    發(fā)表于 02-28 11:48 ?2568次閱讀
    ZigBee<b class='flag-5'>3.0</b>數(shù)據(jù)包<b class='flag-5'>解析</b>

    Python在IC中的應(yīng)用——文本處理

    SDF仿中往往生成的log中會(huì)有一些違例信息,Timing violation路徑或者$setuphold違例,這些信息混雜在后仿log中。
    發(fā)表于 03-07 14:55 ?741次閱讀

    什么是數(shù)字后仿?淺談芯片數(shù)字后仿的那些事

    這是相對(duì)于數(shù)字前仿來說的。從概念上來說,數(shù)字驗(yàn)證包含兩方面的內(nèi)容,數(shù)字前仿和數(shù)字后仿。
    的頭像 發(fā)表于 03-15 14:51 ?8301次閱讀

    解析SDF的Header Section信息與Cell Entries信息

    SDF文件是在VCS/NC-Verilog仿真運(yùn)行時(shí)將STD/IO/Macro門級(jí)verilog中specify的延遲信息替換為QRC/Star-RC抽取的實(shí)際物理延時(shí)信息。
    的頭像 發(fā)表于 05-06 09:54 ?1902次閱讀
    <b class='flag-5'>解析</b><b class='flag-5'>SDF</b>的Header Section信息與Cell Entries信息

    詳解芯片SDF文件 MCU芯片全流程設(shè)計(jì)

    SDF文件是在VCS/NC-Verilog仿真運(yùn)行時(shí)將STD/IO/Macro門級(jí)verilog中specify的延遲信息替換為QRC/Star-RC抽取的實(shí)際物理延時(shí)信息。
    發(fā)表于 05-08 10:30 ?1.1w次閱讀
    詳解<b class='flag-5'>芯片</b><b class='flag-5'>SDF</b>文件 MCU<b class='flag-5'>芯片</b>全流程設(shè)計(jì)

    芯片仿SDF反標(biāo)

    相對(duì)于RTL仿真,門級(jí)仿真占用的計(jì)算資源雖然很多,但是在靜態(tài)時(shí)序檢查(STA)工具普遍應(yīng)用之前,帶時(shí)序的動(dòng)態(tài)門級(jí)仿真幾乎可以說是唯一的timing sign-off手段了。
    的頭像 發(fā)表于 06-08 10:07 ?2313次閱讀
    <b class='flag-5'>芯片</b><b class='flag-5'>后</b><b class='flag-5'>仿</b>及<b class='flag-5'>SDF</b>反標(biāo)

    芯片仿SDF 3.0解析

    SDF文件是在VCS/NC-Verilog仿真運(yùn)行時(shí)將STD/IO/Macro門級(jí)verilog中specify的延遲信息替換為QRC/Star-RC抽取的實(shí)際物理延時(shí)信息,所以如果SDF文件
    的頭像 發(fā)表于 12-18 09:56 ?880次閱讀
    <b class='flag-5'>芯片</b><b class='flag-5'>后</b><b class='flag-5'>仿</b><b class='flag-5'>之</b><b class='flag-5'>SDF</b> <b class='flag-5'>3.0</b><b class='flag-5'>解析</b>