0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

1.3萬(wàn)字!詳解半導(dǎo)體先進(jìn)封裝行業(yè),現(xiàn)狀及發(fā)展趨勢(shì)!

半導(dǎo)體封裝工程師之家 ? 來(lái)源:半導(dǎo)體封裝工程師之家 ? 作者:半導(dǎo)體封裝工程師 ? 2024-07-03 08:44 ? 次閱讀

共賞好劇

導(dǎo) 讀

在以人工智能、高性能計(jì)算為代表的新需求驅(qū)動(dòng)下,先進(jìn)封裝應(yīng)運(yùn)而生,發(fā)展趨勢(shì)是小型化、高集成度,歷經(jīng)直插型封裝、表面貼裝、面積陣列封裝、2.5D/3D封裝和異構(gòu)集成四個(gè)發(fā)展階段。

典型封裝技術(shù)包括:1)倒片封裝(Flip-Chip):芯片倒置,舍棄金屬引線,利用凸塊連接;2)扇入型/扇出型封裝(Fan-In/Fan-Out):在晶圓上進(jìn)行整體封裝,成本更低,關(guān)鍵工藝為重新布線(RDL);3)2.5D/3D封裝:2.5D封裝中芯片位于硅中介層上,3D封裝舍棄中介層,進(jìn)行多芯片堆疊,在基板上方有穿過(guò)芯片的硅通孔(TSV);4)SiP封裝:將多個(gè)子芯片異構(gòu)集成,縮短開發(fā)時(shí)間、提高良率;5)Chiplet:多顆具有單一特定功能的小芯粒異構(gòu)組裝,具備成本優(yōu)勢(shì)。

全球先進(jìn)封裝市場(chǎng)規(guī)模有望從2022年378億美元上升至2026年482億美元,CAGR約為6.26%。其中,3D堆疊CAGR高達(dá)18%,市場(chǎng)規(guī)模有望在2026年上升至73.67億美元。先進(jìn)封裝頭部六位玩家市場(chǎng)份額超70%,技術(shù)路線由臺(tái)積電、英特爾三星等海外領(lǐng)先Foundry和IDM廠主導(dǎo)。

1. 封裝行業(yè)現(xiàn)狀與發(fā)展趨勢(shì)

1.1 先進(jìn)封裝屬于中道工藝,涉及部分前道工藝與設(shè)備

半導(dǎo)體工藝流程包括前道晶圓制造工序和后道封裝測(cè)試工序。前道工序是晶圓制造工序。在前道工序中,晶圓經(jīng)歷了氧化、涂膠、光刻、刻蝕、離子注入、物理/化學(xué)氣相沉積、拋光、晶圓檢測(cè)、清洗等一系列步驟,每一步都需要相應(yīng)的半導(dǎo)體制造設(shè)備。后道工序是封裝測(cè)試工序。在后道工序中,尚未切割的晶圓片進(jìn)入IC封測(cè)環(huán)節(jié),經(jīng)歷磨片/背面減薄、切割、貼片、銀漿固化、引線焊接、塑封、切筋成型、FT 測(cè)試,每一環(huán)節(jié)同樣需要相應(yīng)的半導(dǎo)體封裝設(shè)備與半導(dǎo)體測(cè)試設(shè)備。最終得到芯片成品。

6fe3cdbc-38d5-11ef-82a0-92fbcf53809c.png

傳統(tǒng)封裝已不能滿足以人工智能、高性能計(jì)算為代表的新需求,先進(jìn)封裝技術(shù)應(yīng)運(yùn)而生,形成獨(dú)特的中道工藝。先進(jìn)封裝也稱為高密度封裝,具有引腳數(shù)量較多、芯片系統(tǒng)較小和高集成化的特點(diǎn)。先進(jìn)封裝屬于中道工序,包括清洗、濺射、涂膠、曝光、顯影、電鍍、去膠、刻蝕、涂覆助焊、回爐焊接、清洗、檢測(cè)等一系列步驟。與傳統(tǒng)的后道封裝測(cè)試工藝不同,先進(jìn)封裝的關(guān)鍵工藝需要在前道平臺(tái)上完成,是前道工序的延伸。

6feed6bc-38d5-11ef-82a0-92fbcf53809c.png

1.2 后摩爾時(shí)代,先進(jìn)封裝打破“存儲(chǔ)墻”與“面積墻”

集成電路沿著兩條技術(shù)路線發(fā)展,分別是More Moore和More-than-Moore。More Moore代表持續(xù)追隨摩爾定律,致力于推動(dòng)先進(jìn)制程的發(fā)展。這一路線的關(guān)鍵策略是通過(guò)不斷微縮互補(bǔ)金屬氧化物半導(dǎo)體(Complementary Metal-Oxide-Semiconductor, CMOS)器件的晶體管柵極尺寸,以增加芯片晶體管數(shù)量,從而提升芯片性能。目前,量產(chǎn)芯片的工藝制程已發(fā)展至3 nm節(jié)點(diǎn)。全球范圍內(nèi)僅有少數(shù)企業(yè),如臺(tái)積電、英特爾和三星,具備10納米及以下節(jié)點(diǎn)的制造能力。與More Moore相對(duì)應(yīng)的是More-than-Moore,這一趨勢(shì)旨在超越摩爾定律,將發(fā)展方向引向多樣化。More-than-Moore采用先進(jìn)封裝技術(shù),在一個(gè)系統(tǒng)內(nèi)集成處理、模擬/射頻、光電、能源、傳感、生物等多種功能,從而實(shí)現(xiàn)了系統(tǒng)性能的全面提升。相對(duì)于傳統(tǒng)封裝方式,先進(jìn)封裝具有小型化、輕薄化、高密度、低功耗和功能融合等諸多優(yōu)勢(shì),能夠提升性能、拓展功能、優(yōu)化形態(tài)、降低成本。

700f978a-38d5-11ef-82a0-92fbcf53809c.png

推進(jìn)摩爾定律成本高昂,先進(jìn)封裝能夠在不縮小制程節(jié)點(diǎn)的背景下,僅通過(guò)改進(jìn)封裝方式就能提升芯片性能。摩爾定律是指集成電路上可容納的晶體管數(shù)目,約每隔18-24個(gè)月便會(huì)增加一倍,器件性能也將提升一倍。近年來(lái),摩爾定律的尺寸微縮趨勢(shì)放緩,先進(jìn)制程已經(jīng)逼近物理極限,通過(guò)邁向更先進(jìn)的制程提升芯片性能的成本呈指數(shù)級(jí)增長(zhǎng)。如下圖所示,相比于采用45nm節(jié)點(diǎn)制造的250平方毫米芯片,采用16nm工藝節(jié)點(diǎn)后,每平方毫米的成本增加了1倍以上;而采用5nm工藝后,成本將增加4~5倍。與此同時(shí),先進(jìn)封裝仍處于相對(duì)高成本效益的階段。根據(jù)Semi,晶圓制造的設(shè)備投資占比超過(guò)80%,而封裝測(cè)試的設(shè)備投資占比不到20%。盡管先進(jìn)封裝同樣需要使用光刻、刻蝕、沉積等設(shè)備,但相較于晶圓制造,先進(jìn)封裝所需的設(shè)備的精度要求低,其設(shè)備價(jià)值也相對(duì)較低。此外,先進(jìn)封裝技術(shù)目前正處于快速發(fā)展階段,未來(lái)有較高的改進(jìn)和降本空間。

70185686-38d5-11ef-82a0-92fbcf53809c.png

“存儲(chǔ)墻”制約算力性能發(fā)揮,先進(jìn)封裝實(shí)現(xiàn)近存計(jì)算和高帶寬內(nèi)存堆疊,提高傳輸效率。處理器的峰值算力每?jī)赡暝鲩L(zhǎng)約3.1倍,而動(dòng)態(tài)存儲(chǔ)器的帶寬每?jī)赡暝鲩L(zhǎng)約1.4倍。存儲(chǔ)器的發(fā)展速度遠(yuǎn)遠(yuǎn)落后于處理器,兩者之間的差距達(dá)到1.7倍。此外,日益增長(zhǎng)的帶寬需求量也是一個(gè)重要瓶頸。數(shù)據(jù)的爆發(fā)式增長(zhǎng)對(duì)網(wǎng)絡(luò)信息的傳輸速度和容量提出了更高的要求。在過(guò)去的幾十年中,串行通信的速度從1Gb/s增長(zhǎng)到100Gb/s,并行通信的速度從1Tb/s增長(zhǎng)至100Tb/s。現(xiàn)有計(jì)算平臺(tái)的架構(gòu)基于馮·諾依曼的“存算分離”,使得數(shù)據(jù)需要頻繁在存儲(chǔ)單元和數(shù)據(jù)單元間搬移。為了解決“存儲(chǔ)墻”,業(yè)界提出了存內(nèi)計(jì)算和近存計(jì)算兩種方法。存內(nèi)計(jì)算是指在存儲(chǔ)單元中嵌入計(jì)算單元,實(shí)現(xiàn)數(shù)據(jù)的實(shí)時(shí)計(jì)算,這種計(jì)算方式可以大大減少數(shù)據(jù)搬運(yùn),降低能耗,提高計(jì)算效率。近存計(jì)算則基于2.5D/3D先進(jìn)封裝技術(shù),實(shí)現(xiàn)存儲(chǔ)單元和計(jì)算單元的距離的縮短和多個(gè)高帶寬內(nèi)存的堆疊,高效地傳輸數(shù)據(jù)。

7035c306-38d5-11ef-82a0-92fbcf53809c.png

集成電路發(fā)展受到“面積墻”挑戰(zhàn),先進(jìn)封裝Chiplet集成多個(gè)小芯粒凸顯良率優(yōu)勢(shì)。擴(kuò)大芯片面積可以實(shí)現(xiàn)更多晶體管的集成,從而提高芯片性能。然而,光刻機(jī)的光罩限制了芯片的尺寸,目前最先進(jìn)的極紫外光刻機(jī)的最大光罩面積為858 mm2(26 mm×33 mm)。2020年,英偉達(dá)發(fā)布A100 GPU芯片,采用臺(tái)積電7 nm工藝,制造了近似于一個(gè)光罩面積的芯片,面積為826 mm2(~25.5 mm×32.4 mm),具有540億個(gè)晶體管,逼近芯片面積極限。此外,隨著芯片尺寸的增大,芯片的良率逐漸下降。在工藝成熟的情況下,當(dāng)芯片面積從213 mm2增至777 mm2時(shí),良率從59%降低至26%,良率的降低將使芯片的成本變得高昂。而先進(jìn)封裝Chiplet能將大尺寸的SoC芯片按功能拆分為一個(gè)個(gè)小芯粒,主要功能采用先進(jìn)制程,次要功能采用成熟制程,再通過(guò)封裝技術(shù)集成,在采用小芯片的同時(shí)實(shí)現(xiàn)相近的性能。

70564284-38d5-11ef-82a0-92fbcf53809c.png

1.3 封裝技術(shù)持續(xù)迭代,發(fā)展趨勢(shì)是小型化、高集成度

傳統(tǒng)封裝的主要作用包括機(jī)械保護(hù)、電氣連接、機(jī)械連接和散熱。1)機(jī)械保護(hù):裸片易碎,容易受到物理性和化學(xué)性損壞。半導(dǎo)體封裝的主要作用是通過(guò)將芯片和器件密封在環(huán)氧樹脂模塑料(EMC)等封裝材料中,保護(hù)它們免受物理性和化學(xué)性損壞。2)電氣連接:裸片不能直接跟外部電路連接,封裝通過(guò)芯片和系統(tǒng)之間的電氣連接來(lái)為芯片供電,同時(shí)為芯片提供信號(hào)的輸入和輸出通路。3)機(jī)械連接:需將芯片可靠地連接至系統(tǒng),以確保使用時(shí)芯片和系統(tǒng)之間連接良好。4)散熱:封裝需將半導(dǎo)體芯片和器件產(chǎn)生的熱量迅速散發(fā)出去。在半導(dǎo)體產(chǎn)品工作過(guò)程中,電流通過(guò)電阻時(shí)會(huì)產(chǎn)生熱量。半導(dǎo)體封裝將芯片完全地包裹了起來(lái),如果半導(dǎo)體封裝無(wú)法有效散熱,則芯片可能會(huì)過(guò)熱,導(dǎo)致內(nèi)部晶體管升溫過(guò)快而無(wú)法工作。

先進(jìn)封裝在封裝的四大功能的基礎(chǔ)上,還肩負(fù)著提升芯片性能的作用。具體而言,先進(jìn)封裝對(duì)芯片的提升作用包括五個(gè)方面:一是實(shí)現(xiàn)芯片封裝小型化、高密度化、多功能化;二是降低產(chǎn)品功耗、提升產(chǎn)品帶寬、減小信號(hào)傳輸延遲;三是可實(shí)現(xiàn)異質(zhì)異構(gòu)的系統(tǒng)集成;四是延續(xù)摩爾定律,提升產(chǎn)品性能的有效途徑;五是降低先進(jìn)節(jié)點(diǎn)芯片的設(shè)計(jì)復(fù)雜度和制造成本,縮短開發(fā)周期、提高產(chǎn)品良率。

7087e668-38d5-11ef-82a0-92fbcf53809c.png

封裝技術(shù)的發(fā)展趨勢(shì)是小型化、高集成度,可分為四個(gè)階段:1)第一階段(1970年前):直插型封裝,特點(diǎn)是將電子元器件直接焊接在電路板上,并通過(guò)引腳與電路板相連,以雙列直插封裝DIP(Dual In-line Package)為主;2)第二階段(1970-1990年):表面貼裝,其特點(diǎn)是使用更短更細(xì)的引線代替針腳或沒(méi)有引腳,將電子元件直接粘貼在PCB的表面,然后通過(guò)加熱或冷凝的方式將元件固定在電路板上。主要包括小外形封裝SOP(Small Outline Package)、J型引腳小外形封裝SOJ(Small Outline J-leaded)、無(wú)引腳芯片載體LCC(Leadless Chip Carrier)、扁平方形封裝QFP(Quad Flat Package)四大封裝技術(shù)和針柵陣列PGA(Pin Grid Array)等技術(shù);3)第三階段(1990-2000年):面積陣列封裝,特點(diǎn)是用體積更小的焊球代替引線,這些球形金屬接觸點(diǎn)分布在芯片的表面上,形成一種類似于網(wǎng)格的布局。包括BGA球柵陣列(Ball Grid Array)、CSP芯片尺寸封裝(Chip Scale Package)、倒裝芯片封裝FC(Flip-Chip)等先進(jìn)封裝技術(shù);4)第四階段(2000年至今):三維堆疊和異構(gòu)集成,晶圓級(jí)封裝WLP(Wafer Level Package)、系統(tǒng)級(jí)封裝SIP(System In Package)、扇出型封裝FO(Fan-Out)、2.5D/3D封裝等先進(jìn)封裝技術(shù)百花齊放??偨Y(jié)來(lái)看,每一代封裝技術(shù)的本質(zhì)區(qū)別是芯片與電路連接方式的區(qū)別,隨著封裝技術(shù)的發(fā)展,實(shí)現(xiàn)了連接密度和傳輸速率不斷提高。

70abdec4-38d5-11ef-82a0-92fbcf53809c.png

2. 典型封裝技術(shù)

2.1單芯片封裝

2.1.1 倒片封裝(Flip-Chip):芯片倒置,利用凸塊連接

倒片封裝舍棄金屬引線,利用凸塊(bumping)連接。傳統(tǒng)的引線鍵合方法采用細(xì)金屬線進(jìn)行連接,通過(guò)熱、壓力和超聲波能量,將金屬引線與芯片焊盤以及基板焊盤牢固焊接,實(shí)現(xiàn)了芯片與基板之間的電氣互連和芯片之間的信息傳遞。這一過(guò)程中,金屬引線在焊接的過(guò)程中起到了關(guān)鍵作用,通過(guò)引線實(shí)現(xiàn)了有效的電連接。引線鍵合廣泛應(yīng)用于射頻模塊、存儲(chǔ)芯片以及微機(jī)電系統(tǒng)器件封裝。而倒裝封裝舍棄引線,在芯片頂側(cè)形成焊球,然后將芯片翻轉(zhuǎn)貼到對(duì)應(yīng)的外部電路的基板上,利用加熱熔融的焊球?qū)崿F(xiàn)芯片與基板焊盤結(jié)合。這種封裝技術(shù)通常被廣泛應(yīng)用于高性能處理器(如CPU和GPU)、芯片組(Chipset)以及其他要求高密度互連和緊湊尺寸的集成電路封裝。

倒片封裝與引線鍵合在工藝的不同之處在于:1)倒片封裝將芯片倒置,芯片正面倒扣在基板上;2)倒片封裝舍棄金屬引線,利用凸塊連接,需要進(jìn)行凸塊鍵合。

70df902a-38d5-11ef-82a0-92fbcf53809c.png

與傳統(tǒng)的引線鍵合相比,倒裝芯片具備眾多優(yōu)勢(shì):1)更高的連接密度:傳統(tǒng)的引線鍵合方法只有外部邊緣用于連接,而倒裝封裝可以充分利用整個(gè)芯片表面區(qū)域進(jìn)行互聯(lián)。倒裝芯片面陣列凸點(diǎn)能夠提供更多的輸入輸出管腳,實(shí)現(xiàn)更高的傳輸速度和更低的延遲時(shí)間,適用于高功率集成電路封裝。2)更短的互聯(lián)距離:倒裝芯片之間的電氣連接不再依賴于傳統(tǒng)的引線,而是通過(guò)焊點(diǎn)直接接觸,減少了信號(hào)傳輸時(shí)的電阻、電感,降低信號(hào)延遲。3)更小的封裝尺寸:倒片封裝可以實(shí)現(xiàn)更小的封裝尺寸,因?yàn)榈寡b芯片采用并行工藝,芯片之間的連接不再依賴于引線,而是通過(guò)焊點(diǎn)直接接觸,減少了封裝面積和體積。4)更高的散熱效率:倒片封裝可以實(shí)現(xiàn)更高的散熱效率,因?yàn)榈寡b芯片不采用塑封封裝,使得芯片背面可以進(jìn)行有效的冷卻,提高散熱效率。5)更高的可靠性:倒片封裝可以避免引線鍵合過(guò)程中出現(xiàn)的引線斷裂、彎曲、錯(cuò)位等問(wèn)題,通過(guò)環(huán)氧填充確保了封裝的可靠性和耐久性。

71163800-38d5-11ef-82a0-92fbcf53809c.png

倒片封裝的關(guān)鍵工藝是Bumping。凸塊是定向生長(zhǎng)于芯片表面,與芯片焊盤直接相連或間接相連的具有金屬導(dǎo)電特性的凸起物,按材質(zhì)可分為金凸塊、焊球凸塊、銅柱凸塊。主流的凸塊工藝均采用圓片級(jí)加工,即在整片圓片表面的所有芯片上加工制作凸塊,常用方式有蒸發(fā)方式、印刷方式和電鍍方式。焊球電鍍凸塊的工藝流程為:首先,采用濺射或其它物理氣相沉積的方式在圓片表面沉積一層Ti/Cu作為電鍍所需種子層;其次,在圓片表面旋涂一定厚度的光刻膠,并運(yùn)用光刻曝光工藝形成所需要圖形;然后,圓片進(jìn)入電鍍機(jī),通過(guò)控制電鍍電流、時(shí)間等,從光刻膠開窗圖形的底部開始生長(zhǎng)并得到一定厚度的金屬層作為UBM;最后,通過(guò)去除多余光刻膠、UBMEtching及回流工藝實(shí)現(xiàn)電鍍凸塊制作。

711bffc4-38d5-11ef-82a0-92fbcf53809c.png

2.1.2扇入型/扇出型封裝(Fan-In/Fan-Out):在晶圓上進(jìn)行整體封裝,成本更低

晶圓級(jí)封裝(Wafer-Level Packaging, WLP)是一種直接在晶圓上完成封裝的技術(shù)。晶圓級(jí)封裝與傳統(tǒng)封裝的區(qū)別在于,傳統(tǒng)封裝先將成品晶圓切割成單個(gè)芯片再封裝;晶圓級(jí)封裝在芯片還在晶圓上時(shí)就進(jìn)行整體封裝,封裝完成再進(jìn)行切割分片。晶圓級(jí)封裝具備以下優(yōu)點(diǎn):1)成本更低:晶圓級(jí)封裝的成本相對(duì)較低,因?yàn)榕涡蕴幚矸绞绞沟贸善肪A能夠一次性全部封裝。2)體積更?。壕A級(jí)封裝把整個(gè)芯片作為一個(gè)整體進(jìn)行封裝,此外,晶圓級(jí)封裝通常采用無(wú)引腳或極少引腳的形式,進(jìn)一步減小封裝體積。

714a561c-38d5-11ef-82a0-92fbcf53809c.png

晶圓級(jí)封裝可分為兩大類型:扇入型WLCSP(Fan-In Wafer Level Chip Scale Package, Fan-In WLCSP)和扇出型WLCSP(Fan-Out Wafer Level Chip Scale Package, Fan-Out WLCSP)。在扇入型WLCSP中,封裝尺寸與芯片本身尺寸相同,封裝布線、絕緣層和錫球直接位于晶圓頂部。扇出型WLCSP在封裝后的尺寸大于芯片本身尺寸,是指先對(duì)晶圓進(jìn)行切割再封裝,切割好的芯片排列在載體上,芯片與芯片之間的空隙用環(huán)氧樹脂模塑料填充,重塑成晶圓。然后,這些晶圓將從載體中取出,進(jìn)行晶圓級(jí)處理,并被切割成扇出型WLCSP單元。

716a9648-38d5-11ef-82a0-92fbcf53809c.png

扇入型WLCSP具備如下優(yōu)點(diǎn):1)尺寸最小化:扇入型封裝實(shí)現(xiàn)了尺寸的最小化,最終的二維平面尺寸與芯片尺寸相同;2)工藝成本低:無(wú)需基板和導(dǎo)線等封裝材料,因?yàn)殄a球直接固定在芯片上;3)生產(chǎn)效率高:封裝工藝在晶圓上一次性完成。但扇入型WLCSP也存在一些局限。由于采用硅芯片作為封裝外殼,扇入型封裝的物理和化學(xué)防護(hù)性能相對(duì)較弱。在封裝尺寸上,如果封裝錫球的陳列尺寸大于芯片尺寸,將無(wú)法進(jìn)行封裝。此外,如果晶圓上的芯片數(shù)量較少或生產(chǎn)良率較低,則扇入型WLCSP的封裝成本要高于傳統(tǒng)封裝。扇入型封裝常用于低I/O數(shù)量(一般小于400)和較小裸片尺寸的工藝中。

扇出型WLCSP是對(duì)扇入型封裝的改進(jìn),具備如下優(yōu)點(diǎn):1)提高I/O數(shù)量:扇入型的封裝錫球均位于芯片表面,而扇出型的封裝錫球可以延伸至芯片以外。2)防護(hù)性能更強(qiáng):扇出型封裝受填充的環(huán)氧樹脂模塑料保護(hù)。

7304b75e-38d5-11ef-82a0-92fbcf53809c.png

WLP工藝流程的關(guān)鍵工藝為重新布線(RDL)。首先,涂覆第一層聚合物薄膜,以加強(qiáng)芯片的鈍化層,起到應(yīng)力緩沖的作用。聚合物種類有光敏聚酰亞胺(PI)、苯并環(huán)丁烯(BCB)、聚苯并惡唑(PBO)。其次,重布線層(RDL),對(duì)芯片的鋁/銅焊區(qū)位置重新布局,使新焊區(qū)滿足對(duì)焊料球最小間距的要求,并使新焊區(qū)按照陣列排布。光刻膠作為選擇性電鍍的模板以規(guī)劃RDL的線路圖形,濕法蝕刻去除光刻膠和濺射層。然后,涂覆第二層聚合物薄膜,使圓片表面平坦化并保護(hù)RDL層。在第二層聚合物薄膜光刻出新焊區(qū)位置。隨后,凸點(diǎn)下金屬層(UBM),采用和RDL一樣的工藝流程制作。最后,為植球步驟,焊膏和焊料球通過(guò)掩膜板進(jìn)行準(zhǔn)確定位,將焊料球放置于UBM上,放入回流爐中,焊料經(jīng)回流融化與UBM形成良好的浸潤(rùn)結(jié)合,達(dá)到良好的焊接效果。

731c98f6-38d5-11ef-82a0-92fbcf53809c.png

2.2多芯片封裝

2.2.1 2.5D/3D封裝:多層芯片堆疊,AI驅(qū)動(dòng)下HBM需求大增,CoWoS產(chǎn)能成為算力關(guān)鍵卡口

2.5D封裝和3D封裝的區(qū)別在于是否有硅中階層(Si Interposer)。在2.5D封裝中,所有芯片和被動(dòng)元器件均在基板平面上方,至少有部分芯片和被動(dòng)元器件安裝在中介層上,中介層通常作為一個(gè)載體,承載著各種電路組件和接口。而3D封裝舍棄中介層,直接在芯片上打孔和布線,電氣連接上下層芯片。所有芯片和被動(dòng)元器件器件均位于基板平面上方,芯片堆疊在一起,在基板平面的上方有穿過(guò)芯片的硅通孔(TSV),在基板平面的下方有基板的布線和過(guò)孔。

73440dfa-38d5-11ef-82a0-92fbcf53809c.png

2.5D/3D封裝的關(guān)鍵工藝是硅通孔技術(shù)(through silicon via, TSV)。TSV是一種垂直互連技術(shù),其概念由威廉·肖克利于1958年首次提出,是指連接硅晶圓兩面并與硅襯底以及其他通孔絕緣的電互連結(jié)構(gòu)。TSV的尺寸通常在10μm×100μm和30μm×200μm之間,開口率介于0.1%~1%。與傳統(tǒng)平面互連相比,TSV能夠縮短互連長(zhǎng)度、減小信號(hào)延遲、降低寄生電容和電感,實(shí)現(xiàn)芯片間低功耗和高速通信,同時(shí)增加寬帶并實(shí)現(xiàn)封裝的小型化。TSV目前主要應(yīng)用于芯片三維堆疊、硅轉(zhuǎn)接板等領(lǐng)域。硅轉(zhuǎn)接板是芯片和有機(jī)基板的中間層,分為無(wú)源和有源兩類,其中無(wú)源轉(zhuǎn)接板僅包含金屬互連層,而有源轉(zhuǎn)接板則可集成供電、片內(nèi)網(wǎng)絡(luò)通信等功能。

734c7224-38d5-11ef-82a0-92fbcf53809c.png

根據(jù)TSV被制作的時(shí)間順序,有3種類型的TSV工藝。分為先通孔工藝(Via First)、中通孔工藝(Via Middle)和后通孔工藝(Via Last),分別指TSV制作在晶圓制作工藝中的前、中或后段。

Via First是指在器件(如MOSFET器件)結(jié)構(gòu)制造之前,先進(jìn)行TSV結(jié)構(gòu)的通孔刻蝕,孔內(nèi)沉積高溫電介質(zhì)(熱氧沉積或化學(xué)氣相沉積),然后填充摻雜的多晶硅。多余的多晶硅通過(guò)CMP去除。

Via Middle常常指在形成器件之后但在制造疊層之前制造的通孔工藝。在有源器件制程之后形成TSV結(jié)構(gòu),然后內(nèi)部沉積電介質(zhì)。淀積阻擋層鈦金屬和銅種子層,然后電鍍銅填充通孔,或通過(guò)化學(xué)氣相沉積鎢金屬填充通孔。

Via Last包括兩種工藝。正面后通孔工藝是在Back End of Line(BEOL)工藝處理結(jié)束后,從晶圓正面形成通孔的一種制造工藝。從概念上講,在晶圓上制造的后通孔工藝與中通孔工藝相似,但是對(duì)工藝溫度有進(jìn)一步的限制(必須小于400℃)。背面后通孔工藝是在BEOL工藝處理結(jié)束后,從晶圓背面進(jìn)行通孔結(jié)構(gòu)的一種制造工藝。首先使用粘合劑將兩個(gè)器件晶圓以面對(duì)面方式粘合,接下來(lái),將頂部晶圓減薄,將TSV結(jié)構(gòu)刻蝕至頂部晶圓和底部晶圓上的焊盤,孔內(nèi)沉積電介質(zhì),最后,將金屬沉積到TSV結(jié)構(gòu)中并進(jìn)行表面金屬層再布線。

73c984d0-38d5-11ef-82a0-92fbcf53809c.png

HBM使用2.5D/3D封裝技術(shù)打破“內(nèi)存墻”制約,成為AI及高性能計(jì)算需求下的主流方案。高帶寬內(nèi)存(High Bandwidth Memory, HBM)通過(guò)邏輯芯片和多層的DRAM堆疊來(lái)實(shí)現(xiàn)高速數(shù)據(jù)傳輸,突破了帶寬瓶頸,成為AI訓(xùn)練芯片的首選。第一代HBM的架構(gòu)如下圖所示,由4層DRAM和邏輯芯片堆疊在一起,每層之間通過(guò)TSV和微凸點(diǎn)連接。每個(gè)HBM有8個(gè)通道,每個(gè)通道有128個(gè)I/O,因此每個(gè)HBM有1024個(gè)I/O,即合計(jì)1024個(gè)TSV位于HBM的中間區(qū)域。存儲(chǔ)器和處理器通過(guò)無(wú)源轉(zhuǎn)接板上的再布線層(RDL)將HBM邏輯芯片的端口物理層(Port Physical Layer, PHY)與處理器的PHY相連。HBM的性能較傳統(tǒng)GDDR5更具優(yōu)勢(shì),GDDR5的帶寬最高可達(dá)32 GB/s,而HBM1、HBM2和HBM2的帶寬分別達(dá)到了128 GB/s、307 GB/s和819 GB/s。其中,HBM內(nèi)部的DRAM堆疊屬于3D封裝,而HBM與芯片其他部分合封于硅中介層上屬于2.5D封裝。

73d68162-38d5-11ef-82a0-92fbcf53809c.png

HBM的帶寬提升源于堆棧式封裝帶來(lái)的高位寬以及I/O速率的提升。1)位寬:HBM的位寬是GDDR5的32倍。顯存帶寬是指顯示芯片與顯存之間的數(shù)據(jù)傳輸速率,帶寬的計(jì)算公式為:顯存帶寬(GB/s) = 顯存實(shí)際頻率(MHz) × 顯存數(shù)據(jù)倍率 × 顯存等效位寬(bit) / 8。GDDR5的頻率可達(dá)1750 MHz,采用4倍速率機(jī)制,其等效頻率為7000 MHz,但GDDR5內(nèi)部I/O位寬僅32 bit;相比之下,HBM的頻率為500 MHz,采用2倍速率機(jī)制,等效頻率為1000 MHz,但HBM內(nèi)部I/O位寬高達(dá)1024 bit,將帶寬提升至128 GB/s。HBM之所以能實(shí)現(xiàn)32倍于GDDR5的I/O位寬,是因?yàn)樗捎昧硕褩J皆O(shè)計(jì),通過(guò)TSV堆棧方式將DRAM裸片垂直堆疊放置,從而實(shí)現(xiàn)在相同底面積上布置了數(shù)倍的DRAM顆粒,以達(dá)到更高的I/O數(shù)量。2)I/O速率:在數(shù)值上,顯存速率和顯存頻率是相等的,使帶寬計(jì)算公式簡(jiǎn)化為:顯存帶寬(GB/s) = 顯存數(shù)據(jù)速率(Gbps) × 顯存等效位寬(bit) / 8。這是因?yàn)轱@存速率表示每秒傳輸?shù)臄?shù)據(jù)位數(shù),單位為bps (bits per second)。顯存頻率以MHz為單位,頻率單位赫茲的本質(zhì)就是,描述了單位時(shí)間內(nèi)完成周期性變化的次數(shù)。因此,在數(shù)值上,顯存速率和顯存頻率是相等的。根據(jù)JEDEC固態(tài)技術(shù)協(xié)會(huì)發(fā)布的HBM3標(biāo)準(zhǔn),HBM3定義高達(dá)6.4 Gb/s的數(shù)據(jù)速率,堆棧中的DRAM芯片數(shù)量(四到十六個(gè))及其具體容量(每堆棧4 GB到64 GB)不等,計(jì)算得到初始HBM3堆??商峁┟慷褩?19GB/s的傳輸帶寬。

73e9f4ea-38d5-11ef-82a0-92fbcf53809c.png

HBM堆棧層數(shù)增加對(duì)芯片間鍵合技術(shù)提出更高的要求,關(guān)鍵改進(jìn)是使用混合鍵合替代原來(lái)的微凸點(diǎn)鍵合。混合鍵合是一種實(shí)現(xiàn)介質(zhì)層與介質(zhì)層、金屬與金屬界面無(wú)縫隙鍵合的技術(shù),芯片鍵合界面由介質(zhì)層(通常為SiO2)和金屬(通常為Cu)組成。SiO2介質(zhì)層為集成單元提供機(jī)械支撐與電氣隔離,Cu-Cu鍵合提供芯片間的垂直電氣互連。對(duì)于Cu和SiO2混合鍵合結(jié)構(gòu),首先要對(duì)鍵合表面進(jìn)行等離子或快速原子束表面激活處理,之后進(jìn)行直接鍵合,最后進(jìn)行退火處理。退火在增強(qiáng)SiO2-SiO2鍵合強(qiáng)度的同時(shí),也促進(jìn)了Cu晶粒的生長(zhǎng)和擴(kuò)散以實(shí)現(xiàn)Cu-Cu鍵合。海力士計(jì)劃將混合鍵合技術(shù)應(yīng)用于下一代HBM4產(chǎn)品,混合鍵合技術(shù)可以大幅縮小電極尺寸,從而實(shí)現(xiàn)更高的I/O密度,同時(shí)可以顯著縮小芯片之間的間隙,減少產(chǎn)品厚度。

740a5a1e-38d5-11ef-82a0-92fbcf53809c.png

混合鍵合的主要優(yōu)點(diǎn)包括:1)縮小互聯(lián)間距:它可以實(shí)現(xiàn)超細(xì)間距的芯片互連,比傳統(tǒng)微凸點(diǎn)連接提高了10倍以上。超細(xì)間距的連線將增加布線的有效使用面積,增加通道數(shù)量,并實(shí)現(xiàn)數(shù)據(jù)處理串并行轉(zhuǎn)換,簡(jiǎn)化I/O端口電路,增大數(shù)據(jù)傳輸帶寬。2)降低信號(hào)延時(shí):它可以實(shí)現(xiàn)芯片之間的無(wú)凸點(diǎn)互連通信,取消微凸點(diǎn)連接,進(jìn)一步降低通道的寄生電感性和信號(hào)延時(shí)。3)減薄芯片厚度:混合鍵合可以實(shí)現(xiàn)超薄芯片的制備,通過(guò)芯片的減薄可以大幅降低芯片的厚度和重量,并進(jìn)一步提升互連帶寬;4)提高鍵合可靠性:混合鍵合還可以提高鍵合的可靠性,通過(guò)分子尺度的銅-銅觸點(diǎn)融合和二氧化硅-二氧化硅的分子共價(jià)鍵連接,大幅提高了界面鍵合力,增強(qiáng)了芯片對(duì)環(huán)境的適應(yīng)性。

742bc7bc-38d5-11ef-82a0-92fbcf53809c.png

HBM的高密度連接和短互聯(lián)間距,要求臺(tái)積電的CoWoS封裝技術(shù)。CoWoS是臺(tái)積電于2012年研發(fā)的一種2.5D集成封裝技術(shù),可分為CoW和oS兩步,CoW(chip on wafer)是將計(jì)算核心、I/O die、HBM等芯片封裝在硅中介層上;然后再把CoW芯片整體封裝在基板(Substrate)上,即oS(on substrate)環(huán)節(jié)。CoWoS可以節(jié)省空間,實(shí)現(xiàn)HBM所需的高互聯(lián)密度和短距離連接;還能將不同制程的芯片封裝在一起,在滿足AI、GPU等加速運(yùn)算的需求的同時(shí)控制成本。目前所有先進(jìn)的AI計(jì)算芯片都使用HBM,而幾乎所有HBM都封裝在CoWoS上。

74478e0c-38d5-11ef-82a0-92fbcf53809c.png

根據(jù)中介層材料的不同,CoWoS有三種變體:CoWoS-S(中介層是Si襯底)、CoWoS-R(中介層由RDL構(gòu)成)和CoWoS-L(中介層由Chiplet和RDL組成),其中CoWoS-S為量產(chǎn)主要配置。CoWoS-S利用硅片作為橋梁,芯片互聯(lián)密度最高;出于成本的考慮,CoWoS-R采用有機(jī)轉(zhuǎn)接板,但也導(dǎo)致芯片互聯(lián)密度較低;CoWoS-L將小硅橋安裝在有機(jī)轉(zhuǎn)接板中,僅在芯片鏈接部分使用硅片,實(shí)現(xiàn)鄰近芯片邊緣的高密度連接,生產(chǎn)成本和性能介于CoWoS-R和CoWoS-S之間。

7473bd1a-38d5-11ef-82a0-92fbcf53809c.png

2024年,CoWoS預(yù)計(jì)為臺(tái)積電帶來(lái)70億美元營(yíng)收。AI需求驅(qū)動(dòng)下,CoWoS在臺(tái)積電營(yíng)收的比重逐漸上升。根據(jù)Information Network估計(jì),2022/2023/2024年CoWoS收入占臺(tái)積電營(yíng)收的比例將分別達(dá)到7.00%/7.49%/8.21%。以臺(tái)積電2024年全年?duì)I收指引852.37億美元估算,AI將帶來(lái)約69.94億美元的CoWoS營(yíng)收,較2023年同比增長(zhǎng)34.69%。

74a8e63e-38d5-11ef-82a0-92fbcf53809c.png

CoWoS的絕大多數(shù)需求來(lái)自AI。英偉達(dá)的H100、A100均由臺(tái)積電代工,并使用CoWoS先進(jìn)封裝。根據(jù)Omdia,2023Q3英偉達(dá)售出近50萬(wàn)個(gè)A100和H100 GPU,Meta和微軟是最大買家,其次是谷歌、亞馬遜、甲骨文和騰訊。得益于人工智能和高性能計(jì)算的需求,本財(cái)年第三季度,Nvidia在數(shù)據(jù)中心硬件上獲得了145億美元的收入。龐大的需求量導(dǎo)致CoWoS產(chǎn)能供不應(yīng)求。除了英偉達(dá)外,AMD最新AI GPU產(chǎn)品MI300也導(dǎo)入臺(tái)積電的CoWoS(2.5D)和SoIC(3D)的技術(shù)。此外,還有一系列ASIC芯片,如英特爾的Habana Gaudi、谷歌的TPU v5e、亞馬遜的Inferentia和Trainium芯片等。

74c247f0-38d5-11ef-82a0-92fbcf53809c.png

根據(jù)我們的測(cè)算,CoWoS封裝的單價(jià)為722.08美元/顆,2023年/2024年基于CoWoS的芯片出貨量將達(dá)到346萬(wàn)顆/693萬(wàn)顆,其中供給英偉達(dá)的芯片分別為130萬(wàn)顆/433萬(wàn)顆。按照12英寸晶圓面積70695 mm2和H100、A100、Epic Genoa、MI300四種AI芯片平均面積980mm2,測(cè)算得到每張晶圓上芯片數(shù)約72顆。根據(jù)Information Network給出的2022年CoWoS月產(chǎn)能為8500片以及前文測(cè)算的2022年臺(tái)積電CoWoS收入,得到單顆芯片CoWoS封裝價(jià)格約為722.08美元。而根據(jù)DigiTimes的報(bào)道,2023年CoWoS年產(chǎn)能約12萬(wàn)片,2024年將沖上24萬(wàn)片,其中英偉達(dá)將取得14.4-15萬(wàn)片。由于這些芯片多在7nm和5nm節(jié)點(diǎn)生產(chǎn),保守假設(shè)良率為40%。我們以英偉達(dá)2023年和2024年分別取得4.5萬(wàn)片和15萬(wàn)片的產(chǎn)能來(lái)算,預(yù)計(jì)英偉達(dá)出貨量約130萬(wàn)顆和433萬(wàn)顆。全體AI芯片出貨量約346萬(wàn)顆和693萬(wàn)顆,對(duì)應(yīng)2023年/2024年CoWoS將產(chǎn)生25億美元/50億美元收入。

74eb1342-38d5-11ef-82a0-92fbcf53809c.png

供需短缺情況將在13個(gè)月內(nèi)得到緩解,非臺(tái)積供應(yīng)鏈(non TSMC)有機(jī)會(huì)受益。臺(tái)積電已于2023年第二季度開始采取行動(dòng)擴(kuò)產(chǎn),包括將部分InFO產(chǎn)能從龍?zhí)掇D(zhuǎn)移至南科,以便在龍?zhí)掇D(zhuǎn)擴(kuò)CoWoS產(chǎn)能。2023年7月25日,臺(tái)積電宣布擬投資900億新臺(tái)幣(約206億元人民幣)于竹科轄下銅鑼科學(xué)園區(qū)新建先進(jìn)封裝廠,以加速擴(kuò)產(chǎn)CoWoS產(chǎn)能,預(yù)計(jì)2026年底建廠完成,2027年開始量產(chǎn)。此外,臺(tái)積電同時(shí)也將部分委外至其他封測(cè)廠,聯(lián)電、安靠、矽品等均提供產(chǎn)能支持。影響CoWoS擴(kuò)產(chǎn)的關(guān)鍵是設(shè)備交貨時(shí)間較長(zhǎng)。臺(tái)積電董事長(zhǎng)劉德音在2023年9月6日出席大師論壇專題演講會(huì)時(shí)稱,CoWoS預(yù)期1年半后可100%滿足客戶需求。因此對(duì)非臺(tái)積供應(yīng)鏈來(lái)說(shuō),在CoW端接單的窗口期已不足13個(gè)月,加之?dāng)U產(chǎn)時(shí)間考慮,各封測(cè)廠商對(duì)于擴(kuò)產(chǎn)態(tài)度與規(guī)模較為保守。

2.2.2系統(tǒng)級(jí)封裝(SiP):多個(gè)子芯片集成,良率更高

系統(tǒng)級(jí)封裝(System In Package, Sip)是指將多個(gè)子芯片集成在一個(gè)封裝中,從而實(shí)現(xiàn)一個(gè)基本完整的功能的封裝方式。傳統(tǒng)的摩爾定律主要關(guān)注處理器和存儲(chǔ)器的技術(shù)發(fā)展趨勢(shì),而這些器件可能只占據(jù)整個(gè)系統(tǒng)中器件數(shù)目的10%。除此之外,系統(tǒng)中還包括電源、天線、過(guò)濾器、傳感器驅(qū)動(dòng)電路、轉(zhuǎn)換電路、開關(guān)、電阻和電容等。如果試圖將這些技術(shù)集成在單一芯片中,可能會(huì)導(dǎo)致性能不佳。因此,業(yè)界正在積極開發(fā)SiP(系統(tǒng)級(jí)封裝)等封裝技術(shù),以實(shí)現(xiàn)更好的性能和集成。

SiP封裝技術(shù)介于SoC芯片和chiplet封裝之間。系統(tǒng)級(jí)芯片SoC(System on a Chip)將不同功能元器件整合在單個(gè)芯片,開發(fā)時(shí)間長(zhǎng)、良率低,且各功能模塊的納米制程必須相同。系統(tǒng)級(jí)封裝SiP(System in a Package)將多芯片異構(gòu)集成,開發(fā)時(shí)間較短、良率較高,部分可重復(fù)使用。單獨(dú)IP集成Chiplet將一類滿足特定功能的小芯粒通過(guò)die-to-die內(nèi)部互聯(lián),各功能模塊的納米制程可以不同。

7508f2d6-38d5-11ef-82a0-92fbcf53809c.png

SiP可以采用水平式、堆疊式或嵌入式的封裝方式。從結(jié)構(gòu)上看,SiP可以分為三類,一類是2D封裝結(jié)構(gòu),其中多個(gè)芯片水平排列在基板上,這種結(jié)構(gòu)的封裝面積較大,封裝效率較低,但是工藝相對(duì)簡(jiǎn)單和穩(wěn)定。另一類是堆疊封裝,其中芯片垂直疊放,這種結(jié)構(gòu)可以實(shí)現(xiàn)高效的封裝,充分發(fā)揮SiP的技術(shù)優(yōu)勢(shì),3D SiP的實(shí)現(xiàn)需要多種先進(jìn)的封裝工藝,如芯片堆疊(CoC)、硅通孔(TSV)等,以確保整個(gè)系統(tǒng)的可靠性和性能。還有一類是嵌入式封裝,需要使用埋入式基底(Embedded Subtrate)技術(shù)。

75361932-38d5-11ef-82a0-92fbcf53809c.png

2.2.3芯粒(Chiplet):多顆小芯粒靈活組裝,支持異構(gòu)集成

Chiplet將芯片劃分為小芯粒,具備靈活性和功能性優(yōu)勢(shì)。Chiplet對(duì)需要實(shí)現(xiàn)的復(fù)雜功能進(jìn)行分解,然后開發(fā)出多種具有單一特定功能的裸芯片,這些來(lái)自不同功能、不同工藝節(jié)點(diǎn)的裸芯片可相互進(jìn)行模塊化組裝,最終形成一個(gè)完整的芯片。這種方法實(shí)現(xiàn)了異質(zhì)集成,為芯片設(shè)計(jì)帶來(lái)了更大的靈活性和可擴(kuò)展性,有效提升了產(chǎn)品的功能性。當(dāng)前,Chiplet架構(gòu)主要應(yīng)用于服務(wù)器處理器芯片、人工智能加速芯片、通信芯片、移動(dòng)與桌面處理器芯片和晶圓級(jí)處理器芯片。

在Chiplet架構(gòu)中,芯粒之間通過(guò)互連接口實(shí)現(xiàn)電氣連接。下圖展示了基于Chiplet架構(gòu)的芯片,該芯片包含三種不同功能的芯粒。這些芯粒通過(guò)D2D互連接口進(jìn)行電氣互連,同時(shí)通過(guò)硅轉(zhuǎn)接板和基板進(jìn)行物理連接。芯粒與硅轉(zhuǎn)接板之間通過(guò)micro bump互連,以支持芯粒間高速信號(hào)的高密度互連。硅轉(zhuǎn)接板與底部基板之間則通過(guò)C4 bump實(shí)現(xiàn)互連,用于傳遞電源和外部I/O等功能。

7554b5ea-38d5-11ef-82a0-92fbcf53809c.png

Chiplet具備良率、成本、異構(gòu)計(jì)算優(yōu)勢(shì),適用于復(fù)雜功能的定制化需求。由于Chiplet由多顆芯粒組成,單顆芯粒的面積較小,其良率高。直接設(shè)計(jì)一整塊SoC的面積較大,可能導(dǎo)致較低的良率,從而帶來(lái)高昂的成本。此外,Chiplet技術(shù)支持封裝內(nèi)部的異構(gòu)集成,可以根據(jù)模塊功能選擇芯片制程,針對(duì)特定功能模塊設(shè)計(jì)專用的高性能芯片,對(duì)于其他通用芯片粒采用成熟制程。

757e7060-38d5-11ef-82a0-92fbcf53809c.png

Chiplet封裝技術(shù)也正邁向3D封裝,互聯(lián)節(jié)距持續(xù)縮小。Chiplet封裝廣泛使用各類先進(jìn)封裝技術(shù),包括2D MCM、2.3D封裝、2.5D-轉(zhuǎn)接板、2.5D-FOP、2.7D-硅橋、3D封裝-bumped、3D封裝-bumpless等。封裝結(jié)構(gòu)已從2D封裝發(fā)展到3D封裝,互聯(lián)間距從12μm縮短至0.5μm以下,bump節(jié)距從過(guò)去的130μm縮小至3μm?;ミB帶寬逐步增加,互連質(zhì)量逐步提升。

7583955e-38d5-11ef-82a0-92fbcf53809c.png

3.先進(jìn)封裝市場(chǎng)

3.1市場(chǎng)規(guī)模:受下游旺盛需求拉動(dòng),先進(jìn)封裝增速高于傳統(tǒng)封裝

AI及高性能計(jì)算需求旺盛,先進(jìn)封裝景氣度高于整體封裝行業(yè)。根據(jù)JW Insights和Yole,全球先進(jìn)封裝市場(chǎng)規(guī)模有望從2022年378億美元上升至2026年482億美元,CAGR約為6.26%。從全球封裝市場(chǎng)結(jié)構(gòu)來(lái)看,2022年先進(jìn)封裝的市場(chǎng)份額為47.2%。由于先進(jìn)封裝市場(chǎng)增速超過(guò)傳統(tǒng)分裝市場(chǎng)增速,先進(jìn)封裝的市場(chǎng)份額將持續(xù)提升,預(yù)計(jì)至2026年將達(dá)到50.2%。

75887268-38d5-11ef-82a0-92fbcf53809c.png

目前先進(jìn)封裝仍然以倒片封裝為主,3D堆疊和ED增速較快。根據(jù)JW Insights和Yole,F(xiàn)lip-chip是市場(chǎng)規(guī)模最大的先進(jìn)封裝工藝,2022年市場(chǎng)規(guī)模達(dá)到290.94億美元,占比76.7%,其后為3D堆疊(38.33億美元)、Fan-out(22.05億美元)、WLCSP(26.98億美元)、ED(0.78億美元)。在各先進(jìn)封裝工藝中,成長(zhǎng)性較高的是3D堆疊和ED。3D堆疊封裝2022年市場(chǎng)規(guī)模為38.33億美元,預(yù)計(jì)2026年可以達(dá)到73.67億美元,2022年-2026年CAGR為18%,主要是受高性能運(yùn)算、AI等領(lǐng)域的需要拉動(dòng)。嵌入式基板封裝(ED)是一種先進(jìn)的封裝技術(shù),在5G硬件和CIS等場(chǎng)景有較大的增量空間。2022年ED的市場(chǎng)規(guī)模為0.78億美元,預(yù)計(jì)2026年可以達(dá)到1.89億美元,2022年-2026年CAGR為25%。

75933c98-38d5-11ef-82a0-92fbcf53809c.png

3.2 競(jìng)爭(zhēng)格局:海外IDM和Foundry掌握先進(jìn)封裝前沿技術(shù)

IDM(集成電路制造商)和Foundry(晶圓代工廠)開拓高端3D封裝,而OSAT(外包封測(cè)公司)主攻中低端倒裝、晶圓級(jí)封裝。根據(jù)Yole,2022年集成電路先進(jìn)封裝市場(chǎng)中,OSATs的市場(chǎng)份額為65.1%,IDM的市場(chǎng)份額為22.6%,F(xiàn)oundry的市場(chǎng)份額為12.3%。先進(jìn)封裝頭部六位玩家市場(chǎng)份額超70%,包括3所外包封測(cè)公司日月光(占比25.0%)、安靠(占比12.4%)、長(zhǎng)電科技(占比8.8%),1所晶圓代工廠臺(tái)積電(占比12.3%)以及2所集成電路制造商三星(占比9.4%)、英特爾(占比6.7%)。

75acf82c-38d5-11ef-82a0-92fbcf53809c.png

先進(jìn)封裝向2.5D/3D進(jìn)發(fā),技術(shù)路線由海外Foundry和IDM廠主導(dǎo)。臺(tái)積電已成為先進(jìn)封裝技術(shù)創(chuàng)新的引領(lǐng)者之一,相繼推出了基板上晶圓上的芯片(Chip on Wafer on Substrate, CoWoS)封裝、整合扇出型(Integrated Fan-Out, InFO)封裝、系統(tǒng)整合芯片(System on Integrated Chips, SoIC)等;英特爾推出了嵌入式多芯片互連橋接(Embedded Multi-Die Interconnect Bridge,EMIB)、三維邏輯芯片封裝(Foveros)等先進(jìn)封裝技術(shù);三星推出了I-Cube(Interposer-Cube)、X-Cube(eXtended-Cube)技術(shù)。

75cae0b2-38d5-11ef-82a0-92fbcf53809c.png


審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26324

    瀏覽量

    209993
  • 封裝
    +關(guān)注

    關(guān)注

    125

    文章

    7593

    瀏覽量

    142145
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    智能制造行業(yè)現(xiàn)狀發(fā)展趨勢(shì)

    智能制造行業(yè)作為現(xiàn)代制造業(yè)的重要組成部分,正經(jīng)歷著快速的發(fā)展與變革。以下是對(duì)智能制造行業(yè)現(xiàn)狀發(fā)展趨勢(shì)的詳細(xì)分析:
    的頭像 發(fā)表于 09-15 14:26 ?268次閱讀

    半導(dǎo)體封裝材料全解析:分類、應(yīng)用與發(fā)展趨勢(shì)!

    在快速發(fā)展半導(dǎo)體行業(yè)中,封裝技術(shù)作為連接芯片與外部世界的橋梁,扮演著至關(guān)重要的角色。半導(dǎo)體封裝
    的頭像 發(fā)表于 09-10 10:13 ?415次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>封裝</b>材料全解析:分類、應(yīng)用與<b class='flag-5'>發(fā)展趨勢(shì)</b>!

    2.晶體和振蕩器 行業(yè)研究及十五五規(guī)劃分析報(bào)告(行業(yè)發(fā)展現(xiàn)狀及“十五五”前景預(yù)測(cè))

    行業(yè)發(fā)展現(xiàn)狀及“十五五”前景預(yù)測(cè)2.1全球晶體和振蕩器供需現(xiàn)狀及預(yù)測(cè)(2019-2030)2.1.1全球晶體和振蕩器產(chǎn)能、產(chǎn)量、產(chǎn)能利用率及發(fā)展趨勢(shì)(2019-2030)圖14:全球晶
    的頭像 發(fā)表于 06-21 14:08 ?196次閱讀
    2.晶體和振蕩器 <b class='flag-5'>行業(yè)</b>研究及十五五規(guī)劃分析報(bào)告(<b class='flag-5'>行業(yè)</b><b class='flag-5'>發(fā)展現(xiàn)狀及</b>“十五五”前景預(yù)測(cè))

    半導(dǎo)體封裝技術(shù)的可靠性挑戰(zhàn)與解決方案

    隨著半導(dǎo)體技術(shù)的飛速發(fā)展,先進(jìn)封裝技術(shù)已成為提升芯片性能、實(shí)現(xiàn)系統(tǒng)高效集成的關(guān)鍵環(huán)節(jié)。本文將從生態(tài)系統(tǒng)和可靠性兩個(gè)方面,深入探討半導(dǎo)體
    的頭像 發(fā)表于 05-14 11:41 ?672次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>封裝</b>技術(shù)的可靠性挑戰(zhàn)與解決方案

    如何看待半導(dǎo)體行業(yè)未來(lái)的新趨勢(shì)

    如何看待半導(dǎo)體行業(yè)未來(lái)的新趨勢(shì)
    的頭像 發(fā)表于 04-25 11:38 ?543次閱讀
    如何看待<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>行業(yè)</b>未來(lái)的新<b class='flag-5'>趨勢(shì)</b>

    未來(lái)電子行業(yè)發(fā)展趨勢(shì)

    與時(shí)代,與行業(yè)發(fā)展同頻。今天為大家?guī)?lái)2022年電子電路行業(yè)發(fā)展現(xiàn)狀及23年發(fā)展趨勢(shì),未來(lái),捷配將與用戶一起走向何處? 一、22年電子電路
    的頭像 發(fā)表于 04-11 15:40 ?3781次閱讀
    未來(lái)電子<b class='flag-5'>行業(yè)</b>的<b class='flag-5'>發(fā)展趨勢(shì)</b>?

    半導(dǎo)體先進(jìn)封裝技術(shù)

    共讀好書 半導(dǎo)體產(chǎn)品在由二維向三維發(fā)展,從技術(shù)發(fā)展方向半導(dǎo)體產(chǎn)品出現(xiàn)了系統(tǒng)級(jí)封裝(SiP)等新的封裝
    的頭像 發(fā)表于 02-21 10:34 ?702次閱讀
    <b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>技術(shù)

    功率半導(dǎo)體:現(xiàn)代電子工業(yè)的“心臟”與未來(lái)趨勢(shì)

    功率半導(dǎo)體作為半導(dǎo)體行業(yè)的重要分支,在現(xiàn)代電子工業(yè)中扮演著至關(guān)重要的角色。隨著科技的不斷進(jìn)步,功率半導(dǎo)體的應(yīng)用范圍日益廣泛,涵蓋了電力、交通、通信、家電等眾多領(lǐng)域。本文將詳細(xì)探討功率
    的頭像 發(fā)表于 01-25 09:51 ?593次閱讀
    功率<b class='flag-5'>半導(dǎo)體</b>:現(xiàn)代電子工業(yè)的“心臟”與未來(lái)<b class='flag-5'>趨勢(shì)</b>

    博捷芯BJCORE:劃片機(jī)行業(yè)背景、發(fā)展歷史、現(xiàn)狀及趨勢(shì)

    博捷芯BJCORE:劃片機(jī)行業(yè)背景、發(fā)展歷史、現(xiàn)狀及趨勢(shì)隨著科技的快速發(fā)展半導(dǎo)體制造已成為電子
    的頭像 發(fā)表于 01-09 19:45 ?688次閱讀
    博捷芯BJCORE:劃片機(jī)<b class='flag-5'>行業(yè)</b>背景、<b class='flag-5'>發(fā)展</b>歷史、<b class='flag-5'>現(xiàn)狀及</b><b class='flag-5'>趨勢(shì)</b>

    如何用AI聊天機(jī)器人寫出萬(wàn)字長(zhǎng)文

    如何用AI聊天機(jī)器人寫出萬(wàn)字長(zhǎng)文
    的頭像 發(fā)表于 12-26 16:25 ?944次閱讀

    光伏行業(yè)發(fā)展現(xiàn)狀發(fā)展趨勢(shì)報(bào)告

    2023年12月15日,由中國(guó)光伏行業(yè)協(xié)會(huì)和宿遷市人民政府共同主辦的“2023光伏行業(yè)年度大會(huì)”在江蘇宿遷成功舉辦。中國(guó)光伏行業(yè)協(xié)會(huì)名譽(yù)理事長(zhǎng)王勃華出席會(huì)議并作光伏行業(yè)
    發(fā)表于 12-26 11:32 ?532次閱讀
    光伏<b class='flag-5'>行業(yè)</b><b class='flag-5'>發(fā)展現(xiàn)狀</b>與<b class='flag-5'>發(fā)展趨勢(shì)</b>報(bào)告

    半導(dǎo)體分立器件行業(yè)發(fā)展趨勢(shì)分析

    近年來(lái),全球半導(dǎo)體分立器件行業(yè)市場(chǎng)規(guī)模呈現(xiàn)波動(dòng)變化。根據(jù)數(shù)據(jù)顯示,2021年全球半導(dǎo)體分立器件行業(yè)市場(chǎng)規(guī)模為303.37億美元,同比增長(zhǎng)27.4%,2022年進(jìn)一步同比增長(zhǎng)12.1%,
    發(fā)表于 12-25 11:20 ?872次閱讀
    <b class='flag-5'>半導(dǎo)體</b>分立器件<b class='flag-5'>行業(yè)</b><b class='flag-5'>發(fā)展趨勢(shì)</b>分析

    2023年全球及中國(guó)半導(dǎo)體材料行業(yè)現(xiàn)狀及發(fā)展趨勢(shì)分析

    按照代際來(lái)進(jìn)行劃分,半導(dǎo)體材料的發(fā)展經(jīng)歷了第一代、第二代和第三代。第一代半導(dǎo)體材料主要指硅(Si)、鍺元素(Ge)半導(dǎo)體材料;第二代半導(dǎo)體
    的頭像 發(fā)表于 10-11 17:08 ?4127次閱讀
    2023年全球及中國(guó)<b class='flag-5'>半導(dǎo)體</b>材料<b class='flag-5'>行業(yè)</b><b class='flag-5'>現(xiàn)狀及</b><b class='flag-5'>發(fā)展趨勢(shì)</b>分析

    語(yǔ)音識(shí)別技術(shù)的現(xiàn)狀及發(fā)展趨勢(shì)

    一、引言 隨著科技的快速發(fā)展,語(yǔ)音識(shí)別技術(shù)得到了廣泛應(yīng)用。語(yǔ)音識(shí)別技術(shù)是一種人機(jī)交互的關(guān)鍵技術(shù),它使得計(jì)算機(jī)能理解和解析人類語(yǔ)言。本文將探討語(yǔ)音識(shí)別技術(shù)的現(xiàn)狀及未來(lái)的發(fā)展趨勢(shì)。 二、語(yǔ)音識(shí)別技術(shù)
    的頭像 發(fā)表于 09-28 16:55 ?2866次閱讀