0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

封裝技術(shù)在5G時(shí)代的創(chuàng)新與應(yīng)用

半導(dǎo)體封裝工程師之家 ? 來源:半導(dǎo)體封裝工程師之家 ? 作者:半導(dǎo)體封裝工程師 ? 2024-07-22 11:42 ? 次閱讀

共讀好書

張墅野,邵建航,何 鵬

5G 時(shí)代的到來將通信系統(tǒng)的工作頻段推入毫米波波段,這給毫米波器件的封裝帶來了挑戰(zhàn).5G 系統(tǒng)需要將射頻模擬、數(shù)字功能和無源器件以及其他系統(tǒng)組件集成在一個(gè)封裝模塊中,這個(gè)要求恰恰體現(xiàn)了異質(zhì)異構(gòu)集成的特征,在所有的異質(zhì)異構(gòu)集成解決方案中,2.5D/3D 系統(tǒng)級(jí)封裝(System in Pakage,Sip)因其高度集成化被視為解決5G 系統(tǒng)封裝的重要突破口,文章以 SiP 為切入口,著重介紹了未來 5G 封裝發(fā)展重點(diǎn)的 2.5D/3D SiP 技術(shù)以及目前備受矚目的 Chiplet 技術(shù).基于 5G 毫米波器件的系統(tǒng)級(jí)封裝解決方案,探討了適用于毫米波器件封裝的基板材料以及 SiP所需的先進(jìn)封裝技術(shù),最后,針對(duì) 5G 天線模塊的封裝,介紹了片上天線和封裝天線兩種解決方案。

b5329292-4242-11ef-a4b4-92fbcf53809c.png

01

引言

5G與4G 和長期演進(jìn)技術(shù)( Long Term Evolution,LTE)相比,在數(shù)據(jù)傳輸速率、容量、延遲、帶寬等性能指標(biāo)上都有了大幅度的提升.根據(jù)第三代合作伙伴組織(Third Generation Partner Organization.3GPP)給出的規(guī)范5G主要有兩個(gè)頻段即FR1(450~6000 MHZ)和 FR2(24 250~52 600 MHZ)5G的出現(xiàn)將通信系統(tǒng)的工作頻率推入了太赫茲波段.毫米波頻率范圍內(nèi)的工作需求,給 5G 通信器件的封裝帶來了一系列的挑戰(zhàn).5G系統(tǒng)的封裝需要將射頻、模擬、數(shù)字功能和無源器件以及其他系統(tǒng)組件集成在一個(gè)模塊中.然而,使用系統(tǒng)級(jí)芯片技術(shù)(System of Chip,SoC)來實(shí)現(xiàn) 5G 器件的封裝是十分困難的,因?yàn)檫壿?、?nèi)存I/O、RF 等模塊很難在單一制程中實(shí)現(xiàn).并且基于SoC 技術(shù)的無源器件 值非常低這會(huì)增加高頻下器件的損耗.克服這一問題的辦法就是使用封裝技術(shù)將異質(zhì)材料的無源器件集成在靠近有源器件的位置上.由此可見5G器件的封裝要求十分符合異質(zhì)異構(gòu)集成的特征.除小型化要求外.5G 芯片信號(hào)頻率增加所帶來的傳輸損失以及發(fā)熱量大的問題也不容忽視.這些問題不僅需要從封裝結(jié)構(gòu)層面解決,也需要對(duì)封裝材料以及封裝布線、互連等做出規(guī)范.此外,5G 無線通信系統(tǒng)需要更高集成度的無線電解決方案,包括先進(jìn)的相控陣?yán)走_(dá)天線和收發(fā)器前端技術(shù)以支持高輻射功率和大的信噪比以及波束成形、寬范圍的仰角和方位角掃描.由于天線元件的尺寸和間距以及波長有關(guān),與 4G 的離散天線不同在 5G封裝中封裝集成天線成為了可能.實(shí)現(xiàn)毫米波天線陣列有多種選擇.而具體的技術(shù)方案還需要根據(jù)需求進(jìn)行權(quán)衡.本文針對(duì) 5G 時(shí)代的毫米波器件封裝存在的一系列問題將首先介紹可用于解決 5G 及后代毫米波通信芯片封裝問題的異質(zhì)異構(gòu)集成技術(shù).隨后將對(duì)用于毫米波大功率器件封裝的基板材料以及互連方案進(jìn)行探討.最后介紹毫米波器件天線陣列封裝的兩種技術(shù)方案。

02

異質(zhì)異構(gòu)集成技術(shù)

毫米波異質(zhì)異構(gòu)集成技術(shù)可將基于 GaAs、GaN等新型半導(dǎo)體材料的高性能毫米波有源器件以及射頻( Radio Frequency,RF)微電子機(jī)械系統(tǒng)(Micro-ElectroMechanical System,MEMS)和無源器件、硅基電路模塊通過異質(zhì)生長或者異質(zhì)鍵合等方式集成為一個(gè)具有完整功能的二維或者三維集成電路,充分發(fā)揮異種材料/異種結(jié)構(gòu)器件的優(yōu)勢.而想要通過 SOC 技術(shù)在一塊芯片上實(shí)現(xiàn)上述全部模塊的功能無疑是十分困難 的,且毫米波 SOC 芯片的高損耗問題也不可忽視.目前的手機(jī)廠商為了減小器件尺寸紛紛嘗毫米波 SoC 的設(shè)計(jì),如華為、聯(lián)發(fā)科等企業(yè)均已實(shí)現(xiàn)5G 和6 GHz 以下 SoC 芯片的設(shè)計(jì)然而對(duì)于 5G 高頻段以及未來 6G 而言,SoC 的發(fā)展難上加難而異質(zhì)異構(gòu)集成技術(shù)下的系統(tǒng)級(jí)封裝(System in PackageSiP)則被認(rèn)為是解決 5G 毫米波器件封裝的最佳解決方案.

從原理上看,目前的異質(zhì)異構(gòu)集成方法主要有異質(zhì)外延生長、外延層轉(zhuǎn)移、晶圓集成以及小芯片組裝等7,其中后面兩種的實(shí)現(xiàn)難度較低,也更適應(yīng)毫米波器件.在封裝技術(shù)的發(fā)展方向.目前異質(zhì)異構(gòu)集成的實(shí)現(xiàn)方案上出現(xiàn)了 SiP 等先進(jìn)封裝形式,而實(shí)現(xiàn)方法上則具有傳統(tǒng)的引線鍵合(Wire Bond) 以及晶圓級(jí)封裝(Wafer Lever Package)、倒裝 (Filp Chip)、凸點(diǎn)(Bumping)、2.5D 封裝、3D 封裝等一系列先進(jìn)封裝技術(shù).

本節(jié)將對(duì)異質(zhì)異構(gòu)集成技術(shù)路線下的 SiP 封裝進(jìn)行詳細(xì)介紹,并對(duì)基于小芯片技術(shù)(Chiplet)的 SiP封裝進(jìn)行論述.而封裝技術(shù)相關(guān)的內(nèi)容則會(huì)放到后續(xù)章節(jié).

2.1

系統(tǒng)級(jí)封裝(SiP)

2.1.1 SiP 概述

在這里首先要搞清楚 SiP 在封裝中的層次從微系統(tǒng)的集成方式上來看,微系統(tǒng)的實(shí)現(xiàn)方式主要有SoC、SiP 以及封裝系統(tǒng)(System of Package,SoP)SoC 是基于單片的集成,Si 是基于多芯片的封裝集成,而 SoP 則是基于封裝的系統(tǒng)集成.三者的層次是由低到高的,也就是說,在 SiP 中可以出現(xiàn) SOC 集成的芯片,在 SoP 中也會(huì)包含多個(gè) SiP 器件我們可以認(rèn)為,SiP 是處在芯片與整機(jī)系統(tǒng)間的功能器件的封裝SiP 是將多個(gè)具有不同功能的有源電子器件和可以選擇的無源器件,以及諸如 MEMS 或者光學(xué)器件等其他的器件,組裝為可以提供多種功能的單個(gè)標(biāo)準(zhǔn)封裝器件,形成一個(gè)系統(tǒng)或者子系統(tǒng).siP 的封裝特征與 5G 毫米波器件封裝的要求十分相符.現(xiàn)在所說的 SiP 技術(shù)是在 2000 年左右出現(xiàn)的各種 SiP尤其是射頻部分.在移動(dòng)領(lǐng)域被廣泛應(yīng)用.2.5D/3D 形式的 SiP 封裝被認(rèn)為是未來發(fā)展的重點(diǎn)方向,因?yàn)檫@是后摩爾時(shí)代突破摩爾定律下器件尺寸的一個(gè)重要突破口.

2.1.2 SiP 在5G器件中的應(yīng)用趨勢

5G 技術(shù)的發(fā)展,會(huì)將電子產(chǎn)業(yè)帶人一個(gè)新的領(lǐng)域.由于 5G 技術(shù)的先進(jìn)性,將會(huì)使電子產(chǎn)品的性能獲得極大的提升.與此同時(shí),人們也需要在這之中獲得便利,即這些電子產(chǎn)品要具有較高的便攜性.以手機(jī)為例,從最早的智能手機(jī)時(shí)代開始,每次發(fā)售的新手機(jī)都引人了一些新的功能,比如雙卡雙待、指紋識(shí)別多攝像頭、移動(dòng)支付、人臉識(shí)別等新功能,這些都增加了手機(jī)的耗電量.但是以現(xiàn)有的技術(shù),大幅度增加鉀電池的電量密度是難以實(shí)現(xiàn)的.這就要求系統(tǒng)級(jí)封裝和模組化技術(shù)的發(fā)展.以此來實(shí)現(xiàn)手機(jī)的外觀輕薄和減小功耗.SiP從封裝和組裝為切入點(diǎn)以高精度的表面貼裝技術(shù)(Surface Mounted Technology,SMT) 和先進(jìn)封裝技術(shù),將若干裸芯片和微型的無源器件進(jìn)行高度的集成化,并成為微型化的高性能組件,成熟運(yùn)用 SiP 技術(shù)可以加快 5G 技術(shù)的研發(fā)過程.也可以極大程度上簡化電子產(chǎn)品的制造流程.為人們的生活帶來更多便利.

未來滿足 5G 器件的功能性、小型化、可靠性以及成本效益要求根據(jù) Inan Ndip 等人的總結(jié)SiP的架構(gòu)以及封裝材料和互連必須滿足以下要求.

(1) 性能需求.如電磁兼容性、信號(hào)完整性、電源完整性、高增益的天線陣列、高品質(zhì)因數(shù)的無源器件.

(2)可靠性要求5G 器件的 SiP 結(jié)構(gòu)必須充分考慮到散熱性能以及熱穩(wěn)定性,并且要盡可能杜絕正常使用過程中的熱機(jī)械可靠性問題.

(3)小型化要求SiP 必須能夠使未來的 5G 器件小型化,從而能夠達(dá)到隨時(shí)集成到其他組件/模塊上的目的.

(4) 成本要求.在滿足使用要求的前提下,SiP 應(yīng)該盡可能降低成本.

對(duì)于上述幾個(gè)問題,除了從封裝材料以及組裝方法上著手,從工藝和結(jié)構(gòu)上進(jìn)行考慮也是必要的.例如,在工藝上可以利用面板級(jí)封裝工藝制作 SiP,同時(shí)制作數(shù)百個(gè) 5G 模塊,分?jǐn)偝杀緩慕Y(jié)構(gòu)方面考慮,為了滿足 5G 器件小型化要求以及高性能的要求就必須使SiP 脫離傳統(tǒng)的二維層面,逐漸向著2.5D SiP,特別是 3D SiP 的方向進(jìn)發(fā)此外較為先進(jìn)的雙面 SiP也在 5G 及之后的高頻毫米波器件的封裝中得到了用武之地,雙面SiP 不需要使用中介層(interposer)來實(shí)現(xiàn) SiP,從而能夠在保證小型化和提高集成度的同時(shí)降低成本.

2.1.3 2.5D SiP 與3D SiP

在后摩爾時(shí)代,垂直堆疊封裝被視為延續(xù)摩爾定律的重要舉措,多芯片垂直堆疊常見的就是 2.5D和 3D 封裝封裝技術(shù)的逐漸發(fā)展使得芯片的封裝形式由傳統(tǒng)的單芯片封裝發(fā)展至 2D 多芯片封裝但是隨著對(duì)封裝密度需求的進(jìn)一步增加,想要再提升封裝密度就必須在垂直方向上下功夫.硅通孔(ThroughSilicon Via.TSV) 技術(shù)的出現(xiàn)使芯片的垂直堆疊成為了可能,由此誕生了 2.5D 和3D 封裝技術(shù)嚴(yán)格來說,只有 3D 封裝實(shí)現(xiàn)了多芯片在垂直方向上的堆譽(yù)而 2.5D 封裝使將多個(gè)芯片平行排列在中介層上,因其封裝密度大于傳統(tǒng) 2D 封裝但小于3D 封裝特將其稱為 2.5D 封裝圖1為 2.5D 和3D 封裝結(jié)構(gòu)示意圖.

b536fee0-4242-11ef-a4b4-92fbcf53809c.png

圖1 2.5DSiP 和3D SiP 的封裝結(jié)構(gòu)示意圖

2.5D 封裝一般要借助硅中介層(Silicon Inter-poser),裸片(Die) 被平行放置在中介層的頂部,中介層充當(dāng)芯片與基板的橋梁,能夠?yàn)橄到y(tǒng)提供更多的I/O 寬帶。中介層是一種由硅和有機(jī)材料組成的硅基板,它承擔(dān)著傳遞電信號(hào)的作用,是裸片與印刷電路板(PCB)之間的橋梁.裸片一般是通過微凸塊(Microbumps) 與中介層的布線層連接,而中介層則通過錫球與下層基板相連.中介層的上下導(dǎo)通則一般通過 TSV 等手段實(shí)現(xiàn)3D 封裝則是將具有 TSV 結(jié)構(gòu)的裸片垂直堆疊從而實(shí)現(xiàn)縱向的集成.3D 封裝的裸片通過微凸塊甚至無凸塊相連,由于 TSV 沿著芯片垂直方向以最短的路徑傳輸信號(hào).因此.3D 封裝能夠?qū)崿F(xiàn)更快的信號(hào)傳輸和更高的帶寬。

與 2.5D 封裝相比3D 封裝不需要使用中介層減小了成本,且具有更高的集成度,滿足 5G 器件集成的小型化和降低成本的要求,是一個(gè)極佳的解決方案.盡管3D 封裝可以被認(rèn)為是最先進(jìn)的 IC 封裝形式,但它存在嚴(yán)重的可靠性和測試問題.且對(duì)于大功率的 5G 器件來說該問題尤為突出,芯片和互連密度較高的有限暴露區(qū)域加劇了散熱和機(jī)械可靠性問題此外,可靠性測試方法的缺乏是另一個(gè)需要克服的挑戰(zhàn).目前也有很多學(xué)者針對(duì) 3D 封裝的可靠性問題進(jìn)行研究例如,Lian 等人應(yīng)用模擬方法對(duì)3D SiP結(jié)構(gòu)直流電阻和寄生電感進(jìn)行電學(xué)比較對(duì) Theta-JA進(jìn)行熱比較并對(duì)3D SiP 封裝結(jié)構(gòu)進(jìn)行翹曲比較此外,他們還建立了典型的可靠性測試(溫度循環(huán)測試高溫儲(chǔ)存壽命測試、無偏高加速應(yīng)力測試),以驗(yàn)證3D SiP 結(jié)構(gòu)在未來物聯(lián)網(wǎng)/可穿戴和 5G 設(shè)備應(yīng)用中的應(yīng)用.他們的工作完成了封裝級(jí)的可靠性測試項(xiàng)目,并且展示了3D SiP架構(gòu)的可行性應(yīng)用.

需要聲明的是,即使 3D 較 25D 封裝更能滿足小型化和成本要求,但是由于 2.5D 的可靠性很高,更適用于大批量制造.究竟選擇哪一種形式還要根據(jù)具體需求進(jìn)行權(quán)衡.可預(yù)見的是,未來 5G 及后代毫米波器件的封裝必是以 3D 形式為主。

2.1.4 雙面SiP

在5G低于6GHz器件封裝的方案中較先進(jìn)的雙面SiP 獲得運(yùn)用與普通單面SiP 相比雙面SiP 可以進(jìn)一步提高系統(tǒng)的集成度,減小封裝尺寸,并提高系統(tǒng)性能.雙面 SiP 是一種熱封裝解決方案,采用了雙面 SMT 和雙面成型來縮小整個(gè)模塊的尺寸.雙面SiP 簡化了 PKG I/O 計(jì)數(shù)提高了電源效率減小了噪聲排放.從電集成的角度來看,雙面 SiP 由于較短的信號(hào)傳輸路徑可以獲得比其他并排倒裝芯片 SiP 結(jié)構(gòu)更好的電性能:從熱性能來看,高熱解決方案可提高24%~38%雙面SiP 模塊可以為 5G封裝提供一種先進(jìn)的解決方案,以解決 5G 器件的性能、可靠性、尺寸和成本的需求。

雙面 SiP 模型與單面模型相比,可以允許在一個(gè)小的模型上面出現(xiàn)更多模塊,其固然增大了封裝空間的利用率,但是由于散熱路徑的重疊,其散熱性能是不得忽視的一個(gè)問題.針對(duì)雙面 SiP 結(jié)構(gòu)的散熱問題,Chen 等人使用紅外相機(jī)和熱電偶分析與比較了單/雙面 SiP 模塊的熱性能.為了改善雙面 SiP 的散熱,該團(tuán)隊(duì)設(shè)計(jì)了以下幾個(gè)措施:(1) 單面封裝用焊球連接 PCB,而雙面封裝用銅球連接,由于銅的導(dǎo)熱系數(shù)高于焊料,因此,它可以幫助快速傳熱;(2) 暴露模具,即高溫不應(yīng)包裹在聚合物材料中,且把填充物(Underfill)放在模塊和 PCB 之間的間隙中;(3)另一個(gè)重要的改進(jìn)是 PCB 設(shè)計(jì)的替代.增加 PCB 上的散熱孔和散熱路徑,增加 PCB 的銅含量如此以來,經(jīng)改進(jìn)后的雙面 SiP 散熱得到了改善,且接近于單面 SiP此外,他們建立的利用模擬的方法進(jìn)行了相同的測試圖 2 為該團(tuán)隊(duì)建立的單面 SiP 和雙面SiP的模型模擬結(jié)果與試驗(yàn)結(jié)果最大誤差在 8.4% 以內(nèi),且模擬結(jié)果表明.當(dāng)基板增加了更多的銅后,熱性能大大改善并且在模塊與PCB間填充Underfi11后模塊與基板間形成了流暢的熱路徑。

b559300a-4242-11ef-a4b4-92fbcf53809c.png

圖2 兩種 SiP 模型示意圖 另一方面,雙面SiP具有更高的元件集成密度更緊密的間距設(shè)計(jì)規(guī)則以此來適應(yīng)小的形狀因子,異質(zhì)集成、低成本和高電氣性能.較高的被動(dòng)厚度會(huì)導(dǎo)致結(jié)構(gòu)不平衡,可能會(huì)引起翹曲問題.Ma等人9針對(duì)未來5G 移動(dòng)應(yīng)用的可行性,采用雙面成型工藝設(shè)計(jì)了雙面 SiP 結(jié)構(gòu),其集成了雙面SiP 頂部的許多無源元件,并通過SMT組裝底部的SoC芯片由球柵陣列(BGA)球和環(huán)氧樹脂模塑料包圍.這雙面SiP結(jié)果能夠?qū)⒄麄€(gè)包裝尺寸縮小約35%.針對(duì)結(jié)構(gòu)的翹曲等問題,他們對(duì)封裝單元的翹曲進(jìn)行了模擬以進(jìn)行環(huán)氧模塑化合物的選擇.之后,他們又使用 DOE(實(shí)驗(yàn)設(shè)計(jì))研究來驗(yàn)證后成型的翹曲性能,并且 DOE也被用于找出合適的激光燒蝕工藝參數(shù),從而達(dá)到指定的 BGA 球形焊料突起.隨后,設(shè)計(jì)好的雙面 SiP 結(jié)構(gòu)被進(jìn)行了一系列典型的可靠性測試,包括溫度循環(huán)測試、高溫存儲(chǔ)測試、無偏壓HAST(加速老化試驗(yàn))雙面SiP結(jié)構(gòu)通過了所有可靠性測試,他們的設(shè)計(jì)思路為之后的研究人員提供了極大的借鑒意義。 雙面SiP技術(shù)是未來5G器件封裝的最佳解決方案,特別是雙面2.5D/3DSiP封裝已備受研究者以及產(chǎn)業(yè)工程師的青睞.5G以及后續(xù)的6G時(shí)代,毫米波器件的雙面SiP開發(fā)將朝著更大集成度、更小封裝尺寸方向邁進(jìn),展望未來,雙面SiP的發(fā)展道路上還有許多問題需要解決,散熱與翹曲只是其中一個(gè)方面,芯片間的隔離以及電磁干擾問題仍然等著我們解決。

2.2

基于Chiplet的系統(tǒng)級(jí)封裝

Chiplet 又被稱為芯?;蛘咝⌒酒?與目前市場主流的SoC技術(shù)相反,Chiplet是將一塊功能完善且集成度很高的裸片拆分成多個(gè)小芯片,再利用SiP技術(shù)將其組合到一起,形成一個(gè)系統(tǒng)級(jí)芯片.Chiplet帶有很強(qiáng)的異質(zhì)異構(gòu)集成的特征,它也被看作是后摩爾時(shí)代解決摩爾定律失效的一個(gè)很有前景的方法,目前,隨著工藝節(jié)點(diǎn)的發(fā)展,芯片制造的成本、設(shè)計(jì)周期和復(fù)雜性的急劇上升正促使行業(yè)將重點(diǎn)放在Chiplet上,它允許不同制程制造的芯片組合在一起,并在不同的項(xiàng)目中重復(fù)使用,這有助于降低設(shè)計(jì)過程中的成本,并提高產(chǎn)量.

美國國防部高級(jí)研究計(jì)劃局(DARPA)在2017年推出的CHIPS計(jì)劃(通用異構(gòu)集成和IP復(fù)用戰(zhàn)略)試圖將小芯片推向戰(zhàn)略統(tǒng)一和生態(tài)建設(shè)的水平在DARPA的規(guī)劃中,小芯片涉及來自不同公司、不同工藝節(jié)點(diǎn)、不同半導(dǎo)體材料、不同信號(hào)類型(即波、電子、光子,甚至微機(jī)電系統(tǒng))的具有不同功能的芯片.因此,小芯片技術(shù)旨在支持新生態(tài)和應(yīng)用系統(tǒng)中的巨大技術(shù)路線圖。

Chiplet的優(yōu)勢主要體現(xiàn)在技術(shù)要求,成本以及商業(yè)化等方面.相比于SoC,Chiplet將系統(tǒng)級(jí)芯片進(jìn)行了拆分,降低了功能高度集成帶來的設(shè)計(jì)和制造要求.且Chiplet的生產(chǎn)形式使其能夠支持特殊功能的定向定制,從而能夠避免市場狹窄的問題,并且Chiplet 大大縮減了制造周期以及研發(fā)投入,能夠更好地平衡生產(chǎn)成本問題.Chiplet最大的特點(diǎn)在于IP復(fù)用,這有助于實(shí)現(xiàn)芯片設(shè)計(jì)產(chǎn)業(yè)鏈細(xì)分.如此以來,片設(shè)計(jì)行業(yè)就可逐漸打破幾家獨(dú)大的局面,小型芯片設(shè)計(jì)公司也將從中獲益,從長期發(fā)展角度來看,這是十分利于技術(shù)的競爭與發(fā)展的.

可以預(yù)見,Chiplet技術(shù)在5G毫米波器件的系統(tǒng)級(jí)封裝中也將大有可為.然而,Chiplet的進(jìn)一步發(fā)展需要使Chiplet接口必須達(dá)成一致,接口和協(xié)議的設(shè)計(jì)必須考慮與制造工藝和封裝技術(shù)相匹配、系統(tǒng)集成和擴(kuò)展的要求.此外,不同領(lǐng)域的小芯片的相關(guān)性能指標(biāo)也至關(guān)重要。

目前,Chiplet 技術(shù)已成功應(yīng)用于工業(yè)領(lǐng)域,尤其是具有高端技術(shù)和研究能力的公司.HBM存儲(chǔ)器是Chiplet技術(shù)最早的成果應(yīng)用.隨后,在現(xiàn)場可編程門陣列(FPGA)相關(guān)領(lǐng)域,Intel推出了基于小Chiplet 技術(shù)的 AgilexFPGA 產(chǎn)品.這些產(chǎn)品使用 3D 封裝技術(shù)來實(shí)現(xiàn)異構(gòu)芯片集成.在高性能CPU 芯片領(lǐng)域AMD引人了Zen2架構(gòu),將I0組件和處理器核心分離為多個(gè) Chiplet,以進(jìn)行進(jìn)一步的按需集成.在網(wǎng)絡(luò)領(lǐng)域,Intel的 Tofno2 芯片具有 12.8T的切換能力,這通過Chiplet實(shí)現(xiàn),它將交換邏輯芯片與高速SerDes芯片集成在一起.此外,AMD、高通等芯片設(shè)計(jì)龍頭也在逐步布局Chiplet產(chǎn)業(yè),在國內(nèi),中興等企業(yè)也開始探索Chiplet,并逐步跟上世界龍頭企業(yè)步伐。

03

基板材料

針對(duì)散熱、信號(hào)分布、電源及信號(hào)完整性、熱可靠性等一系列問題,5G器件模塊的SiP封裝主要有3個(gè)基本技術(shù)問題:(1)封裝的結(jié)構(gòu)及方案;(2)高頻高性能基板材料;(3)多功能芯片組件的組裝及互連本節(jié)主要強(qiáng)調(diào)適用于 5G SiP 封裝的基板材料.

封裝基板提供其上各種電路元件之間的布線或互連.目前應(yīng)用于5G器件封裝的基板材料主要有:低損耗層壓板(Low-loss Laminates)、低溫共燒陶瓷(Low Temperature Co-fired Ceramic,LTCC)和 玻 璃(Glass).根據(jù)異構(gòu)集成線路圖(Heterogeneous Inter-gration Roadmap),表1比較了玻璃、LTCC、環(huán)氧玻璃布層壓板(FR4)以及液晶高分子(LCP)與硅(Si)的材料特性,可以看出4種襯底與硅之間都有很大的熱膨脹系數(shù)(Coefficient of Thermal Expansion,CTE)失配,因此,在封裝過程中充分考慮焊點(diǎn)可靠性以及Underfill的使用都是必要的,但是具體使用何種基底技術(shù)還需要根據(jù)制造成本、可靠性要求、熱性能、電性能等要素進(jìn)行抉擇.除此之外,晶圓級(jí)封裝(Wafer-level Packaging,WLP)提供了一種不需要基底材料的封裝選擇.關(guān)于晶圓級(jí)封裝將在下一節(jié)中進(jìn)行詳細(xì)描述.

表1 基底材料的比較 b57a6180-4242-11ef-a4b4-92fbcf53809c.png

3.1

低損耗層壓板

典型的多層低損耗層壓板如圖3所示,它由一個(gè)或多個(gè)嵌入到其他層壓板或者堆疊膜之間的低損耗介電層以及上下層壓的粘接層、層壓板、金屬層等組成.內(nèi)部介電層必須在工作頻率下具有低損耗,還應(yīng)該足夠薄以實(shí)現(xiàn)高電容密度和最小化封裝高度液晶聚合物(LCP)或聚四氟乙烯(PTFE)等低損耗有機(jī)物的出現(xiàn)逐漸取代環(huán)氧樹脂作為中間的介電層。因?yàn)樗鼈兊膿p耗角正切可以解決環(huán)氧樹脂較大的損耗正切問題.此外,由于在層壓溫度下的穩(wěn)定性和高剝離強(qiáng)度,聚酰亞胺的可加工性可能優(yōu)于LCP.SiO填充的碳?xì)浠衔镆话惚挥米魍鈱拥恼辰訉雍投逊e層,以提供剛性、低吸濕性和低的Z軸CTE,從而確保使用的可靠性,外層的表面金屬化被用作形成可靠焊點(diǎn)的屏障.Cu作為表面金屬被認(rèn)為是不利的,因?yàn)樗焖傺趸⑴c焊料反應(yīng)形成具有不期望的電學(xué)和物理性質(zhì)的脆性金屬間化合物.Ni是一種出色的阻擋金屬,并涂有超薄金,以防止相鄰焊點(diǎn)橋接.表面金屬一般是電鍍鎳和亞錫、硬金、軟金以及化學(xué)鍍鎳和浸金。

b58861b8-4242-11ef-a4b4-92fbcf53809c.png

圖3 一種典型的多層低損耗層壓板示意圖 面向5G封裝基板用層壓板,一些學(xué)者針對(duì)介電層展開了一系列研究,總體目標(biāo)是實(shí)現(xiàn)低損耗傳輸?shù)?PCB材料需要具有低Dk(介電常數(shù))和低Df(耗散因子).Yamamoto 等人為 5G 封裝開發(fā)了一種雙馬來酰亞胺和三嗪(BT)樹脂材料,并展示了 BT層壓板的優(yōu)異性能,也證實(shí)了所開發(fā)的BT復(fù)合材料具有比先前BT復(fù)合材料更低的Dk和Df,并且在高溫或高濕度條件下顯示出足夠的穩(wěn)定性.但是這種 BT樹脂仍未實(shí)現(xiàn)比 LCP 更低的Dk和Df,Lai 等人研究了預(yù)處理技術(shù)對(duì)LCP多層層壓板電路性能的影響,與微蝕刻和黑化工藝相比,褐變工藝對(duì)信號(hào)完整性有定影響,導(dǎo)致?lián)p耗的減小,信號(hào)衰減較弱.因此,建議在高頻和高速印刷電路板層壓的預(yù)處理工藝中使用褐變工藝.

3.2

低溫共燒陶瓷(LTCC)

與其他材料相比,陶瓷具有更寬的相對(duì)介電常數(shù)范圍和更好的機(jī)械穩(wěn)定性,使其成為濾波器、諧振器介質(zhì)天線和其他相關(guān)設(shè)備的首選材料.并且,5G6G通信技術(shù)最新發(fā)展證實(shí)了LTCC技術(shù)在集成天線中的關(guān)鍵作用.LTCC是一種多層集成技術(shù),因其容易實(shí)現(xiàn)內(nèi)埋置元器件和多層布線方便實(shí)現(xiàn)小型化以及高頻化設(shè)計(jì)而多用于多層電子封裝行業(yè)LTCC 用于5G 封裝基板材料具有如下優(yōu)勢:

(1)低的損耗角正切,這意味著它是高質(zhì)量因子無源器件RF應(yīng)用的潛在候選者.

(2)低的CTE是其與硅具有良好的CTE匹配保證了互連的可靠性.

3)高熔點(diǎn)保證了應(yīng)用于5G等大功率器件過程中的熱穩(wěn)定性.

(4)高電阻率使其能夠不額外產(chǎn)生導(dǎo)電損耗(5)高的楊氏模量使其大大降低了翹曲的風(fēng)險(xiǎn)LTCC 堆疊具有多層布線的特征,它的結(jié)構(gòu)包括了陶瓷介電層以及穿越陶瓷介電層的導(dǎo)電跡線和過孔.圖4是LTCC無芯材(Core)堆疊封裝結(jié)構(gòu)的示意圖.

b5a32ff2-4242-11ef-a4b4-92fbcf53809c.png

圖4 LTCC封裝基板示意圖 由于LTCC在5G封裝方面的優(yōu)勢,目前已針對(duì)其開展了較多的研究工作.在LTCC材料制備方面,Wang 等人將不同比例的 B,O 和 CuO 混合物引人 LTMN 陶瓷中,以實(shí)現(xiàn)陶瓷較低的燒結(jié)溫度,制備了 LTCC陶瓷,并對(duì)其微波介電性能、微觀結(jié)構(gòu)燒結(jié)特性和結(jié)構(gòu)演變進(jìn)行了全面的研究.結(jié)果表明.BC的加人可以將 LTMN試樣的燒結(jié)溫度降低到790℃,而微波介電性能沒有明顯下降.此外,他們也證明了 BC摻雜的 LTMN陶瓷與Ag之間具有良好的化學(xué)相,從而證實(shí) BC 摻雜的 LTMN 陶瓷是一種很有前途的 5G LTCC 材料.Li等人制備了 Zn-Ni共改性的b5c36d12-4242-11ef-a4b4-92fbcf53809c.png微波介電陶瓷,并通過改變Zn/Ni的比例詳細(xì)研究了Zn/Ni 比對(duì)相結(jié)構(gòu)、微觀結(jié)構(gòu)和微波介電性能的影響.該研究獲得了單相的b5cfbee6-4242-11ef-a4b4-92fbcf53809c.png陶瓷,并且證實(shí)ZnNi比例的變化對(duì)晶格參數(shù)、致密化和微觀結(jié)構(gòu)產(chǎn)生了顯著影響.制備的陶瓷的最佳組成在品格參數(shù)、致密、b5dd1f78-4242-11ef-a4b4-92fbcf53809c.pngb5e51278-4242-11ef-a4b4-92fbcf53809c.png范圍內(nèi)表現(xiàn)出優(yōu)異的微波介電性能,有望進(jìn)行 LTCC 應(yīng)用. LTCC結(jié)構(gòu)為多層堆疊提供了無與倫比的設(shè)計(jì)靈活性.它允許堆疊大量的電介質(zhì)和金屬層,并分布堆疊和交錯(cuò)的通孔,這些特性對(duì)于垂直配置下的低損耗網(wǎng)絡(luò)的設(shè)計(jì)非常有吸引力.Liu等人基于LTCC提出了一種適用于毫米波頻率和5G應(yīng)用的高效孔徑饋電介質(zhì)諧振器天線陣列,該陣列是在單個(gè)LTCC工藝中制造的.天線陣列由16個(gè)圓柱形DR天線(CDRA)元件組成,對(duì)封裝陣列的性能測量結(jié)果表明在28.72GHz下,阻抗帶寬為9.81%,最大實(shí)現(xiàn)增益為15.68 dBi,效率為88%.Chou等人提出了一種通過 LTCC 工藝制造的端射雙極化輻射的緊湊封裝天線(AP)結(jié)構(gòu).他們通過將水平金屬帶狀線耦合偶極子與垂直磁電單極子集成到多層結(jié)構(gòu)中以實(shí)現(xiàn)緊湊性來實(shí)現(xiàn)雙極化.巧妙地將AP在天線之間的空腔中實(shí)現(xiàn)了垂直過孔和水平帶狀線,以提高隔離和偏振純度.該AiP已經(jīng)在用戶設(shè)備(UE)應(yīng)用中的端射高增益和波束控制方面進(jìn)行了數(shù)值檢驗(yàn).原型在26.5~29.5GHz范圍內(nèi)顯示出低于-25 dB的良好隔離,并與全波模擬一致.

3.3

玻璃

玻璃基板材料具有優(yōu)越的尺寸穩(wěn)定性、大面積低成本面板的可用性、形成細(xì)間距通孔的能力、對(duì)溫度和濕度的穩(wěn)定性,以及與扇形封裝中使用的硅和模具化合物相比具有較低的介電損耗等優(yōu)點(diǎn),基于玻璃基板的封裝正在成為實(shí)現(xiàn)毫米波器件中濾波器等無源器件封裝的十分具有競爭性的基板選擇對(duì)象.

玻璃一般被用于封裝內(nèi)部的芯材通過將堆積材料堆疊在其上配以銅跡線形成的金屬化布線層從而組成一塊封裝基板,圖5是這種玻璃基板的一種典型結(jié)構(gòu),另一種結(jié)構(gòu)是將玻璃基板作為嵌入式封裝的基板材料,它將芯片嵌入到玻璃中,如圖6所示.之后玻璃被平坦化,然后在芯片的有源側(cè)形成堆積層,并利用微孔將其直接與焊盤相連,這種結(jié)構(gòu)支持嵌人式無源器件、多個(gè)芯片和天線,以及連接到PWB的 BGA 接口,線寬可以低至2um,以滿足新興的重布線層(ReDistribution Layer,RDL)需求.

b5f2dc0a-4242-11ef-a4b4-92fbcf53809c.png

圖5 玻璃基板示意圖

b60913a8-4242-11ef-a4b4-92fbcf53809c.png

圖6 嵌入芯片式玻璃基板示意圖

在幾種基板技術(shù)中,LTCC 的高制造成本和差的可擴(kuò)展性限制了其在大型面板中的可用性.低損耗層壓板盡管在成本和擴(kuò)展性方面具有優(yōu)勢,但面板規(guī)模的翹曲和可靠性問題使其在可加工性方面存在不足.而玻璃基板由于具有更接近硅的CTE以及低的表明粗糙度等特點(diǎn)展示出了強(qiáng)大的競爭力.ALi等人在超薄玻璃基板上實(shí)現(xiàn)了用于RF前端模塊中的小型化雙工器的封裝集成.制備的雙工器具有低插入損耗、低電壓駐波比(VSWR)、高阻帶抑制高選擇性、高隔離以及易于集成的優(yōu)點(diǎn),并且這些雙工器占地面積小,電氣性能好,被證明是5G 異構(gòu)集成和毫米波RF前端器件的理想候選者.Watanabe等人首次在面板級(jí)超薄玻璃基板上實(shí)現(xiàn)了芯片嵌入式毫米波集成模塊的封裝,他們的目的是降低芯片到封裝的損耗.為了證明嵌入芯片式玻璃基板對(duì) 5G 器件封裝的好處,他們使用了基于C4凸塊的倒裝芯片技術(shù)對(duì)互連損耗進(jìn)行了基準(zhǔn)測試.電性能測試結(jié)果表明,與具有C4凸塊的倒裝芯片組裝方法相比,具有玻璃襯底的芯片嵌入結(jié)構(gòu)導(dǎo)致從芯片到天線的插入損耗降低了3倍.目前一些企業(yè)也在生產(chǎn)基于玻璃基板的封裝形式,例如Samtec和Unimicron,但是在玻璃基板的應(yīng)用方面仍需克服由玻璃的性質(zhì)而導(dǎo)致的脆性或堅(jiān)固性以及處理困難等問題.

04

互連方式

SiP涉及到許多互連情況,例如芯片與芯片、芯片與基板以及基板和PCB之間,互連保證了電信號(hào)的傳遞,事關(guān)信號(hào)傳輸以及封裝整體的穩(wěn)定性,傳統(tǒng)的封裝互連方法主要是引線鍵合以及微焊點(diǎn)連接隨著對(duì)封裝集成度要求的增加,逐漸出現(xiàn)了倒裝、晶圓級(jí)封裝、硅通孔等一系列先進(jìn)封裝互連技術(shù).本節(jié)主要介紹2.5D/3DSiP所需的互連技術(shù).

4.1

倒裝連接

基于封裝密度的提高以及信號(hào)傳輸路徑的考量,倒裝芯片技術(shù)在電子封裝中得到了各種應(yīng)用.與傳統(tǒng)的引線鍵合相反,在倒裝芯片封裝中,硅芯片的有源側(cè)面朝下,并通過焊點(diǎn)或凸塊連接到基板,如此以來減小了單個(gè)芯片的占地尺寸,并且大大縮短了信號(hào)傳輸路徑與引線鍵合相比,倒裝芯片在輸入/輸出密度、電氣性能、尺寸、生產(chǎn)成本和熱性能方面無疑更具優(yōu)勢.

在技術(shù)要求上面,倒裝芯片封裝帶來的主要挑戰(zhàn)是由于焊料凸塊、硅芯片和有機(jī)襯底之間的CTE不匹配而導(dǎo)致的熱機(jī)械應(yīng)力積聚,隨著電子設(shè)備的持續(xù)使用,芯片封裝的互連焊點(diǎn)會(huì)經(jīng)歷熱循環(huán),最終會(huì)導(dǎo)致疲勞或電氣故障.這種CTE失配問題有兩種解決思路:焊料成分人手和通過底部填充(Underfill)工藝解決.錫鉛焊料是電子封裝中常用的焊點(diǎn)材料盡管Pb和富含Pb的金具有凸塊焊料最理想的特性之一,但是鑒于環(huán)境保護(hù)問題,含鉛焊料逐漸被擯棄.目前對(duì)于無鉛釬料的研究大多集中于尋找共晶錫鉛合金的替代材料上.目前常用的無鉛焊料為富Sn合金焊料,比較受青睞的有Sn-Ag和Sn-Ag-Cu 系合金.通常,為了改善焊點(diǎn)機(jī)械性能和穩(wěn)定性,心片和基底之間的狹窄間隙填充有UUnderfill流體,Underfill一般是環(huán)氧樹脂與熔融二氧化硅填料的均勻混合物,它將重新分配熱機(jī)械應(yīng)力,使其遠(yuǎn)離互連,在固化后,填充凸塊陣列間隙的Underfill將化學(xué)硬化以形成封裝凸塊的保護(hù)層.

4.2

晶圓級(jí)封裝

傳統(tǒng)的封裝發(fā)生在晶圓被切片之后,而晶圓級(jí)封裝是對(duì)晶圓先封裝后切片.晶圓級(jí)封裝的優(yōu)勢是大大減小了封裝的尺寸,使其能夠與裸片尺寸一致,從而達(dá)成芯片封裝小型化、輕量化的目標(biāo).此外,晶圓級(jí)封裝通過重布線層(RDL)將裸片上的接口引出,因此,相較于普通封裝工藝,晶圓級(jí)封裝減少了一層基板的使用.晶圓級(jí)封裝又可分為扇入型晶圓封裝(Fan-in WLP)和扇出型晶圓封裝(Fan-out WLP)兩種,如圖7所示,二者的區(qū)別在于RDL上的I/O數(shù)量是否超出裸片面積范圍.對(duì)扇人型晶圓級(jí)封裝來說,I/O 分布不超過芯片的覆蓋面積,因此,裸片面積占據(jù)了封裝面積的 100%.隨著需求的增加,芯片所需的I/O接口數(shù)量增多,扇人型品圓級(jí)封裝所能支持的I/O接口有限,因此,需要 RDL將I/O擴(kuò)展到裸片面積以外,這就是扇出型晶圓封裝.

b61a69e6-4242-11ef-a4b4-92fbcf53809c.png

圖7 扇入型和扇出型晶圓封裝示意圖 4.2.1 RDL 技術(shù)

無論是扇人型還是扇出型晶圓級(jí)封裝,RDL技術(shù)在其中都是不可或缺的,RDL將IO接口重新排布,并將信號(hào)傳遞至焊點(diǎn)(Solder).RDL是在晶圓表面沉積金屬層和絕緣層形成相應(yīng)的金屬布線圖案,采用高分子薄膜材料和ACu金屬化布線對(duì)芯片的I/O焊盤重新布局成面陣分布形式,將其延伸到更為寬松的區(qū)域來植錫球.在2.5D封裝的Interposer中RDL也發(fā)揮著作用.可以說,在先進(jìn)封裝中,RDL發(fā)揮著很重要的作用。

為了提高焊點(diǎn)的可靠性,對(duì) RDL進(jìn)行精心的設(shè)計(jì)是必要的.RDL改進(jìn)的思路之一是在焊料和硅芯片之間添加一個(gè)緩沖層,例如有研究者設(shè)計(jì)了一種聚合物上焊點(diǎn)結(jié)構(gòu),如圖8所示.可以看到,RDL上方和下方都有兩個(gè)介電層,這將提高互連強(qiáng)度,因?yàn)榫酆衔锝殡妼涌梢允剐酒蚉CB之間的應(yīng)力得到緩沖.此外,RDL提升的另一個(gè)思路是RDL與焊點(diǎn)材料配合,共同來提高互連的可靠性

b62f0c02-4242-11ef-a4b4-92fbcf53809c.png

圖8 聚合物上焊點(diǎn)結(jié)構(gòu)的RDL示意圖

4.2.2扇出型晶圓級(jí)封裝

扇出型晶圓封裝可以調(diào)整RDL來適應(yīng)大數(shù)量接口的需求,并且其封裝尺寸也更小,這些特征有助于封裝結(jié)構(gòu)的熱性能和電性能.扇出型晶圓級(jí)封裝的這種特點(diǎn)使其在5G毫米波器件的封裝中廣受關(guān)注.

嵌人式品圓BGA(eWLB)是扇出式晶圓級(jí)封裝最著名的應(yīng)用.英飛凌首次報(bào)道了扇出晶圓級(jí)封裝(Fan-out Wafer-level Package,FOWLP)技術(shù)及其eWLB,并提出了天線集成封裝.eWLB 具有扇出型封裝的所有優(yōu)點(diǎn),例如小的封裝面積、允許大數(shù)量I/O接口、功能性更強(qiáng)等特點(diǎn).但是對(duì)于5G集成天線封裝來說,eWLB只有單面RDL,這限制了天線的設(shè)計(jì).設(shè)計(jì)雙面 RDL的扇出型晶圓封裝是十分必要的.eWLB的提出之始并未受到重視,因?yàn)楸藭r(shí)的芯片 I/O數(shù)量一般小于500,再布線的線寬線間距也相對(duì)較大,且隨著先進(jìn)封裝技術(shù)的發(fā)展,扇入型晶圓級(jí)封裝已能夠達(dá)成需求.但是隨著5G 時(shí)代的到來,對(duì)封裝的要求進(jìn)一步提高,扇出型品圓級(jí)封裝開始走上舞臺(tái)中央.2016年,臺(tái)積電在先進(jìn)封裝技術(shù)上近十年的技術(shù)沉淀,開發(fā)出了集成扇出型(Integrated Fan-Out,InFO)封裝技術(shù),這項(xiàng)技術(shù)被蘋果成功應(yīng)用于蘋果iPhone7系列手機(jī)的應(yīng)用處理器.這之后,蘋果的每一代產(chǎn)品均采用InFO技術(shù).集成扇出型封裝技術(shù)的優(yōu)勢在于可省去載板,綜合成本較傳統(tǒng)的疊層封裝(Package on Package,PoP)降低約 2~3 成以上,節(jié)省芯片封裝的成本,并可應(yīng)用于手機(jī)AP或其他RF電源管理 IC等大量應(yīng)用場景.臺(tái)積電的成功將扇出式晶圓級(jí)封裝重新帶回人們視野,各大廠商也開始著力布局扇出型封裝.

4.3

硅通孔(TSV)技術(shù)

TSV在垂直封裝堆疊中具有著廣泛應(yīng)用,它在三維先進(jìn)封裝的飛快發(fā)展中功不可沒.在3D封裝中堆疊芯片之間通過TSV互連,使電信號(hào)得以導(dǎo)通,在2.5D封裝中盡管沒有出現(xiàn)芯片堆疊,但是TSV是2.5D 封裝所需的 Interposer 的必要技術(shù).TSV 的誕生讓垂直堆疊多個(gè)芯片成為可能,它是通過硅通道垂直穿過組成堆棧的不同芯片或不同層實(shí)現(xiàn)不同功能芯片集成的先進(jìn)封裝技術(shù).TSV主要通過銅等導(dǎo)電物質(zhì)的填充完成硅通孔的垂直電氣互連,減小信號(hào)延遲,降低電容、電感,實(shí)現(xiàn)芯片的低功耗、高速通信,增加帶寬和實(shí)現(xiàn)器件集成的小型化需求.TSV提供了硅片內(nèi)部垂直方向的電互連. 按硅基底至TSV中心來分,TSV有3個(gè)部分,即介電層、阻擋層和填充物.金屬填充TSV需要介電層以與周圍的Si基底充分電隔離.介電層的工藝要求包括良好的臺(tái)階覆蓋率和均勻性、無漏電流、低應(yīng)力、更高的擊穿電壓等.用于介電層的材料通常是 SiO2,、Si3N4.緊挨著介電層的是阻擋層,以防止Cu原子在需要 400 ℃ 溫度的退火過程中從 Cu TSV擴(kuò)散.此外,阻擋層充當(dāng)介電層和Cu層之間的粘附層,用作阻擋層的常見材料是Ti、Ta、TiN 和 TaN.TSV的中心區(qū)域則是導(dǎo)電填充物,通常是Cu、多晶硅、W 等導(dǎo)電物質(zhì). 在技術(shù)實(shí)現(xiàn)方面,TSV形成技術(shù)主要有激光鉆孔、Bosch 深度反應(yīng)離子刻蝕、低溫深度反應(yīng)離子刻蝕以及各種濕化學(xué)刻蝕的方法.在這些方法中,Bosch深度反應(yīng)離子刻蝕(即博世工藝)是目前應(yīng)用最多的方法.根據(jù)TSV的制造流程,又可將TSV分為先通孔(TSV-first)、中通孔(TSV-middle)以及后通孔(TSV-last).由于每種 TSV 在制造流程中所處的位置不同,其用途以及填充材料也具有顯著差異例如,先通孔是在互補(bǔ)金屬氧化物半導(dǎo)體(CMOS)工藝開始之前形成 TSV,為了在隨后的高溫CMOS工藝中生存下來,多晶硅是通孔填充的導(dǎo)電材料的選擇.中通孔是在CMOS 工藝之后,但在互連層之前形成 TSV,在不需要在高溫CMOS 工藝中生存的情況下,可以使用銅來填充通孔,以利用其電氣性能.但是當(dāng)銅的 CTE 和高縱橫比孔中的銅孔鍍層中的空隙引起關(guān)注時(shí),鎢(W)和鉬(Mo)中通孔填充也是選擇.最后一種是在半導(dǎo)體品圓工藝完成后形成TSV.由于典型的后通 TSV 是大尺寸的,因此,Cu是典型的通徑填充材料.

4.4

天線封裝的解決方案

近些年來,由于 5G 技術(shù)的發(fā)展,低時(shí)延、高速率大容量萬物互聯(lián)等要求對(duì)智能手機(jī)等5G運(yùn)用場景提出了很大的挑戰(zhàn).天線方面,5G 毫米波在傳輸過程中極易損耗,如何減小路徑損耗、如何實(shí)現(xiàn)高速率大容量的傳輸以及如何在縮小天線尺寸的同時(shí)提高功效等問題亟待解決,傳統(tǒng)的天線采用分離式封裝策略,然而在高頻下,天線和射頻芯片的分離封裝面臨著互連損耗過大和集成密度低的問題,這就導(dǎo)致系統(tǒng)性能下降,難以實(shí)現(xiàn)未來通信的大規(guī)模系統(tǒng)集成.天線和RF前端器件的共同設(shè)計(jì)和共同封裝,封裝天線(Antenna in Package,AiP)、片上天線(Antenna on Chip,AoC)等天線的集成封裝方案被廣泛認(rèn)為是毫米波及以上波段通信系統(tǒng)的可行解決方案.

4.5

封裝天線(AiP)

AiP技術(shù)是將一元或多元天線集成到封裝內(nèi)部天線技術(shù),其典型方案是采用集成電路封裝工藝AiP 依靠 3D 封裝技術(shù),大大縮短了饋線長度,從而降低了互連損耗,提高了系統(tǒng)電源效率.AiP的優(yōu)點(diǎn)在于它在單獨(dú)的基板上實(shí)現(xiàn),獨(dú)立于RF芯片,且該基板可以專門用于輻射元件及其饋線,也可以充當(dāng)收發(fā)器組件和異構(gòu)集成的封裝.

總的來看,AiP有兩種結(jié)構(gòu):一種是倒裝芯片結(jié)構(gòu),一種是嵌入式芯片結(jié)構(gòu).倒裝芯片結(jié)構(gòu)中,芯片采用倒裝技術(shù)被與基板一側(cè)連接,而天線陣列被布置在基板的另一側(cè).嵌入式芯片結(jié)構(gòu)中,芯片嵌入基板內(nèi)部,而天線陣列被布置在基板一層.由此可見,AiP 技術(shù)的關(guān)鍵在于先進(jìn)封裝互連技術(shù)與基板材料的選擇這兩方面內(nèi)容已在上文中闡述,在此不再贅述.

目前,AiP正被廣泛應(yīng)用于毫米波器件,被認(rèn)為是未來毫米波天線封裝的最佳解決方案.Gu等人在基站用有機(jī)層壓基板的AiP方面取得了開創(chuàng)性進(jìn)展他們設(shè)計(jì)了一個(gè)包括64個(gè)陣列嵌入式天線的芯片AiP.天線陣列在Tx模式和±40°掃描范圍下,等效全向輻射功率(EIRP)超過50dBm.在產(chǎn)業(yè)化應(yīng)用方面目前一些企業(yè),包括IBM、Intel、Samsung等均已開始將 AiP作為其產(chǎn)品的天線封裝方案.

4.6

片上天線(AoC)

片上天線是采用片上金屬化連線工藝集成制作的天線.AoC 技術(shù)與 AiP技術(shù)最根本的區(qū)別在于,芯片上天線沒有與射頻電路(RF)封裝在一起,所以射頻電路不存在任何形式的互聯(lián),天線自己的功能結(jié)構(gòu)基于單個(gè)模塊上.其次,與 AiP相比,AoC 更小,只有幾平方毫米.然而,AoC的缺陷在于,對(duì)于硅基AoC 而言,襯底的高介電常數(shù)b6ab3aa2-4242-11ef-a4b4-92fbcf53809c.png和低電阻率嚴(yán)重降低了匹配帶寬和輻射效率.

有研究者提出了一種亞太赫茲應(yīng)用的硅基高增益AoC技術(shù),高增益是通過使用孔徑饋送機(jī)構(gòu)激勵(lì)天線來實(shí)現(xiàn)的.對(duì)天線的測試結(jié)果表明,所提出的片上天線在0.290~0.316THz范圍內(nèi)的反射系數(shù)小于-10dB,最高增益和輻射效率分別為11.71dBi和70.8%.由于 AoC 技術(shù)難度上的問題,目前 AoC是天線封裝研究較少的一個(gè)方向.基于此,有學(xué)者提出并演示了一種基于聚酰亞胺層的片上天線,該天線工作在 0.600~0.622THz的太赫茲區(qū)域的高頻帶上.有研究人員指出,在100GHz~1THz的頻率下,AoC將是天線封裝的一個(gè)有吸引力的選擇方案.以此來看,對(duì)于適用于未來更高頻段的毫米波AoC技術(shù)的成熟化,仍任重道遠(yuǎn).

05

結(jié)束語

(1)5G毫米波向下要兼容 4G、3G等,向上要擴(kuò)展頻率,還需要滿足低時(shí)延、高通率等特點(diǎn),這是對(duì)封裝技術(shù)的一次巨大挑戰(zhàn).在封裝方案方面,SiP可以實(shí)現(xiàn)不同材料、不同工藝模塊/組件的異質(zhì)異構(gòu)集成,是解決 5G 毫米波器件封裝的最具前景的方案.

(2)2.5D/3DSiP封裝的高度集成化是未來5G系統(tǒng)器件發(fā)展的重要方向.此外,基于Chiplet的SiP 技術(shù)可將功能拆分成小芯片,降低制造難度,其IP重用的特征使其十分具有發(fā)展價(jià)值.

(3)在封裝基板方面,目前已有LTCC、玻璃基板以及低損耗層壓板,這些基板各有優(yōu)勢.此外,基于RDL的晶圓級(jí)封裝也提供了一種無基板方案.在封裝互連層面,傳統(tǒng)的引線鍵合已不滿足未來小尺寸高集成封裝的要求,未來的5G系統(tǒng)封裝互連技術(shù)將以倒裝、TSV、基于 RDL的扇出型封裝為主.

(4)天線是5G毫米波器件的重要組成部分,目前有AoC和AiP兩種具有潛力的天線封裝解決方案.由于AoC的難度問題,目前對(duì)毫米波天線的研究主要側(cè)重于 AiP技術(shù).但是兩種技術(shù)各有千秋,具體使用還需根據(jù)實(shí)際需求權(quán)衡.

總而言之,先進(jìn)封裝技術(shù)在后摩爾時(shí)代已被視為改善集成電路性能的一大重要方向.目前,毫米波器件的3DSiP封裝仍未完全實(shí)現(xiàn).在未來,廣大的研究者、工程師們還需深耕于此.

審核編輯 黃宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 封裝
    +關(guān)注

    關(guān)注

    125

    文章

    7592

    瀏覽量

    142144
  • 5G
    5G
    +關(guān)注

    關(guān)注

    1351

    文章

    48177

    瀏覽量

    560848
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    長電科技深耕5G通信領(lǐng)域,提供芯片封裝解決方案

    5G時(shí)代,高頻、高速、低時(shí)延、多通路等特性給集成電路封裝帶來新的技術(shù)挑戰(zhàn)。長電科技推出的芯片封裝解決方案有效應(yīng)對(duì)這一挑戰(zhàn),公司
    的頭像 發(fā)表于 09-11 15:07 ?275次閱讀

    探索未來通信|光耦技術(shù)5G網(wǎng)絡(luò)通信的應(yīng)用 #光耦 #5G技術(shù)

    網(wǎng)絡(luò)通信5G
    晶臺(tái)光耦
    發(fā)布于 :2024年07月26日 08:46:30

    嵌入式設(shè)備中的4G/5G模塊管理

    高度數(shù)字化的智能時(shí)代,Linux嵌入式板卡在各個(gè)領(lǐng)域都發(fā)揮著重要作用,然而,隨著4G/5G技術(shù)的普及,如何高效、穩(wěn)定地管理這些嵌入式設(shè)備上
    發(fā)表于 07-13 16:45

    請問mx880 5G數(shù)據(jù)終端可以設(shè)置優(yōu)先5G網(wǎng)絡(luò)嗎?

    固件版本固件版本5G_DTU master 1.2.5 當(dāng)?shù)?b class='flag-5'>5G網(wǎng)絡(luò)夜里會(huì)關(guān)閉, 設(shè)置lte?nr 或者nul?nr,夜里自動(dòng)跳轉(zhuǎn)4G 網(wǎng)絡(luò), 白天有5G 網(wǎng)絡(luò)時(shí)候不能自動(dòng)切回來,得手
    發(fā)表于 06-04 06:25

    5G技術(shù)的熱管理挑戰(zhàn)與解決方案:高性能材料的創(chuàng)新應(yīng)用

    需求,使其產(chǎn)生的熱量遠(yuǎn)超以往,這對(duì)設(shè)備的可靠性和性能構(gòu)成了嚴(yán)峻考驗(yàn)。正是在此背景下,高性能熱管理材料成為了5G時(shí)代不可或缺的關(guān)鍵要素。本文將深入探討熱管理材料5G應(yīng)用中的重要性,分析
    的頭像 發(fā)表于 05-16 16:12 ?435次閱讀

    5G手機(jī)信號(hào)屏蔽器:5G時(shí)代必備,高效阻斷通信

    深圳特信屏蔽器|5G手機(jī)信號(hào)屏蔽器:5G時(shí)代必備,高效阻斷通信
    的頭像 發(fā)表于 05-07 09:05 ?900次閱讀

    5G圖傳技術(shù)指揮車通信中的創(chuàng)新應(yīng)用

    隨著5G技術(shù)的蓬勃發(fā)展,其各行各業(yè)的應(yīng)用日益廣泛,特別是指揮車通信領(lǐng)域,訊維5G圖傳技術(shù)以其
    的頭像 發(fā)表于 03-07 15:44 ?299次閱讀

    5G Advanced開啟新一輪5G創(chuàng)新

    連接技術(shù)是推動(dòng)生成式AI從云端到邊緣側(cè)再到終端側(cè)規(guī)模化擴(kuò)展的關(guān)鍵因素。作為5G技術(shù)的演進(jìn)第二階段,5G Advanced不僅是向6G過渡的關(guān)
    的頭像 發(fā)表于 02-29 09:52 ?465次閱讀

    美格智能聯(lián)合羅德與施瓦茨完成5G RedCap模組SRM813Q驗(yàn)證,推動(dòng)5G輕量化全面商用

    智能5G RedCap模組SRM813Q的射頻和吞吐量性能,展現(xiàn)了美格智能在無線通信模組領(lǐng)域領(lǐng)先的技術(shù)實(shí)力和創(chuàng)新能力。 羅德與施瓦茨是全球領(lǐng)先的測試與測量解決方案供應(yīng)商,測試與測量
    發(fā)表于 02-27 11:31

    長電科技突破5G毫米波芯片封裝模塊測試難題

    作為芯片封測領(lǐng)域的領(lǐng)軍企業(yè),長電科技成功突破了5G毫米波芯片封裝模塊測試的一系列挑戰(zhàn),以其先進(jìn)的AiP天線封裝技術(shù)和專業(yè)的測試平臺(tái)實(shí)驗(yàn)室,為5G
    的頭像 發(fā)表于 01-22 10:37 ?689次閱讀

    5G毫米波技術(shù)工業(yè)上的創(chuàng)新

    隨著信息時(shí)代的到來,人們對(duì)網(wǎng)絡(luò)速度和連接可靠性的需求不斷增加。為了滿足這些需求,無線通信技術(shù)不斷發(fā)展。5G毫米波技術(shù)作為新一代無線通信
    的頭像 發(fā)表于 01-09 15:36 ?392次閱讀

    5G 外置天線

    5G外置天線 新品介紹 5G圓頂天線和Whip天線旨在提供617 MHz至6000 MHz的寬帶無縫高速互聯(lián)網(wǎng)接入連接解決方案。這些天線的特點(diǎn)是高增益,即使具有挑戰(zhàn)性的環(huán)境中也能確保強(qiáng)大的信號(hào)
    發(fā)表于 01-02 11:58

    #華為 #5G 華為全面完成5G-A技術(shù)性能測試

    華為5G
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月23日 17:24:37

    【新聞】廣和通+山源科技:5G智慧礦山,讓井下作業(yè)更安全高效

    操控和運(yùn)維。而礦工作業(yè)過程中,人身安全和工作效率都存在挑戰(zhàn)。 解決方案 山源科技基于內(nèi)置高通QCM6490物聯(lián)網(wǎng)解決方案的廣和通5G智能模組SC171開發(fā)出了5G礦用AR眼鏡產(chǎn)品,帶來多種創(chuàng)
    發(fā)表于 10-16 14:46

    5G時(shí)代的迅速發(fā)展,有什么意義?

    隨著5G時(shí)代的到來,人們的生活方式和工作方式都發(fā)生了巨大的變化。在這個(gè)全新的數(shù)字化時(shí)代5G技術(shù)成為了連接萬物的橋梁,為人們提供了更快、更穩(wěn)
    的頭像 發(fā)表于 10-09 16:09 ?910次閱讀