0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AI應(yīng)用致復(fù)雜SoC需求暴漲,2.5D/Chiplet等先進(jìn)封裝技術(shù)的機(jī)遇和挑戰(zhàn)

Felix分析 ? 來源:電子發(fā)燒友 ? 作者:吳子鵬 ? 2024-07-16 01:20 ? 次閱讀

電子發(fā)燒友網(wǎng)報道(文/吳子鵬)先進(jìn)封裝包括倒裝焊、2.5D封裝、3D封裝、晶圓級封裝、Chiplet等,過去幾年我國先進(jìn)封裝產(chǎn)業(yè)發(fā)展迅猛。根據(jù)中國半導(dǎo)體協(xié)會的統(tǒng)計數(shù)據(jù),2023年我國先進(jìn)封裝市場規(guī)模達(dá)1330億元,2020年-2023年期間的年復(fù)合增長率高達(dá)14%。不過,目前國內(nèi)先進(jìn)封裝市場占比僅為39.0%,與全球先進(jìn)封裝市場占比48.8%相比仍有較大差距,尚有較大提升空間。


受益于AI產(chǎn)業(yè)大發(fā)展,目前全球先進(jìn)封裝產(chǎn)能吃緊。隨著AI、自動駕駛等應(yīng)用對芯片性能要求越來越高,后續(xù)全球和中國先進(jìn)封裝產(chǎn)業(yè)仍有巨大的發(fā)展空間。在以“共筑先進(jìn)封裝新生態(tài),引領(lǐng)路徑創(chuàng)新大發(fā)展”為主題的第十六屆集成電路封測產(chǎn)業(yè)鏈創(chuàng)新發(fā)展論壇(CIPA 2024)上,專設(shè)“芯片設(shè)計與先進(jìn)封裝技術(shù)專題論壇”,探討先進(jìn)封裝的技術(shù)發(fā)展,以及先進(jìn)封裝如何賦能復(fù)雜SoC設(shè)計等產(chǎn)業(yè)前沿問題。

Chiplet技術(shù)創(chuàng)新的挑戰(zhàn)

Chiplet是先進(jìn)封裝重要組成部分,通常被翻譯為“芯?!被颉靶⌒酒?,憑借高性能、低功耗、高面積使用率等優(yōu)勢,Chiplet被認(rèn)為是延續(xù)摩爾定律“經(jīng)濟(jì)效益”的有效手段。

芯和半導(dǎo)體聯(lián)合創(chuàng)始人&總裁代文亮博士指出,Chiplet讓復(fù)雜SoC的創(chuàng)新速度明顯加快。過往,傳統(tǒng)SoC的迭代速度是18-24個月。在Chiplet技術(shù)的幫助下,科技巨頭和芯片巨頭每3個月,或者是每半年就會發(fā)布一款新品,創(chuàng)新速度明顯提升。更為重要的是,Chiplet讓算法的支持更加高效靈活,不需要全部推倒重來設(shè)計芯片,只需要替換其中的計算單元即可,顯著提升了芯片創(chuàng)新對算法支持的普適性。

wKgaomaU-A6AP63XABASosBHkfQ686.jpg
芯和半導(dǎo)體聯(lián)合創(chuàng)始人&總裁代文亮博士


當(dāng)然,作為芯片創(chuàng)新的革命性技術(shù),Chiplet技術(shù)發(fā)展也面臨著一些挑戰(zhàn),比如Chiplet在晶圓管理方面提升了連接復(fù)雜性、時間敏感性;管理多顆芯粒的規(guī)格可能給芯片良率帶來一定的挑戰(zhàn);Chiplet在芯片制造方面的成本還需要得到優(yōu)化等。

對此,代文亮博士特別提到了Chiplet設(shè)計的復(fù)雜性,涉及可行性、可優(yōu)化性、可實現(xiàn)性等問題。他認(rèn)為,Chiplet后期的發(fā)展模式Chiplet Store,滿足連接標(biāo)準(zhǔn)的Chiplet都可以參與到復(fù)雜SoC創(chuàng)新中。以MCU廠商為例,原本MCU并不需要做大規(guī)模的復(fù)雜SoC,但是MCU是復(fù)雜SoC里重要的一部分,因此相關(guān)廠商可以將MCU打造成為滿足連接標(biāo)準(zhǔn)的Chiplet。因此,對于Chiplet發(fā)展來說,生態(tài)系統(tǒng)是非常重要的,EDA、Fabless、IDM、晶圓代工廠要高效溝通,這也是芯和半導(dǎo)體打造Chiplet先進(jìn)封裝平臺的主要原因。

進(jìn)一步來看,要想高效利用Chiplet,離不開先進(jìn)封裝技術(shù)。Chiplet讓芯片可分解成特定模塊,按封裝介質(zhì)材料和封裝工藝劃分,Chiplet的實現(xiàn)方式主要包括以下幾種:MCM、2.5D封裝、3D封裝。蘇州通富超威半導(dǎo)體有限公司封裝開發(fā)經(jīng)理何志丹表示,無論是何種先進(jìn)封裝形式,要做的就是通過基板將Chiplet轉(zhuǎn)移到PCB板上。目前,Chiplet是“以大為美”,封裝尺寸越做越大,那么一個明顯的問題就是翹曲。

wKgZomaU-B2AaN1qAADs2xv9UXo442.jpg
蘇州通富超威半導(dǎo)體有限公司封裝開發(fā)經(jīng)理何志丹


傳統(tǒng)貼片機(jī)和回流焊的方式,翹曲只有10微米左右,挑戰(zhàn)和影響并不大。在容納Chiplet的大封裝里,翹曲會達(dá)到150-200微米,因此傳統(tǒng)的封裝形式已經(jīng)不適用于Chiplet。何志丹指出,目前新產(chǎn)品的基板層數(shù)達(dá)到20層,甚至?xí)_(dá)到26-28層,這也就意味著翹曲將會出現(xiàn)更加嚴(yán)重的問題,給引線焊接帶來了更大的挑戰(zhàn)。應(yīng)對翹曲,玻璃基板是一個非常好的方式,但玻璃基板依然在探索階段。

大尺寸封裝帶來的第二個問題是散熱。先進(jìn)封裝芯片在能滿足高性能計算、人工智能、功率密度增長需求的同時,散熱問題也變得更加復(fù)雜。因此,解決芯片封裝散熱問題是一項至關(guān)重要的任務(wù)。何志丹提到,今天我們聽到芯片的功耗,很多是600W、800W,功耗是非常高的。將芯片做薄,提升銅層覆蓋率是一個好的散熱解決方案。但更大更薄的芯片就會產(chǎn)生更嚴(yán)重的翹曲,更加不利于焊接。通富超威半導(dǎo)體在解決散熱方面的一個解決方案是在TIM材料上采用面積大、熱度小的材料。

先進(jìn)封裝賦能大算力芯片創(chuàng)新

賦能高性能計算是先進(jìn)封裝技術(shù)發(fā)展的主要推動力,反過來說,先進(jìn)封裝是打造大算力芯片的重要手段。天芯互聯(lián)產(chǎn)品總監(jiān)張偉杰表示,當(dāng)前算力每12個月翻一倍,算力高能效是非常重要的,需要先進(jìn)封裝實現(xiàn)高集成、高密度,并要求供電模塊實現(xiàn)小型化。

wKgaomaU-CyAB9NBAACrKWDW8lY773.jpg
天芯互聯(lián)產(chǎn)品總監(jiān)張偉杰


在先進(jìn)封裝方面,2.5D/3D封裝是產(chǎn)業(yè)后續(xù)發(fā)展的方向,板級扇出也能提升系統(tǒng)性能。天芯互聯(lián)目前正在做這方面的工作,比如該公司在先進(jìn)封裝與系統(tǒng)集成解決方案方面提供工藝流程、仿真服務(wù)、封裝仿真等服務(wù)。張偉杰以一款5nm芯片為例,基于天芯互聯(lián)的方案,在5500×4800um的Wafer上實現(xiàn)4顆AI計算die的互聯(lián),打造多核架構(gòu),能夠減少Fan-out信號數(shù)量,降低基板難度。

基于Chiplet和先進(jìn)封裝技術(shù)的大算力芯片,一個重要的特性是異構(gòu)集成,因此電源網(wǎng)絡(luò)會越來越復(fù)雜,如何優(yōu)化電源也是重要一環(huán)。張偉杰稱,從數(shù)據(jù)中心的電源架構(gòu)來看,從380V交流轉(zhuǎn)換到220V和直流,然后再轉(zhuǎn)換到48V供電。同時,在新的供電架構(gòu)下,48V依然會轉(zhuǎn)化為0.8V或者是1.2V,要保證效率,電流就會非常大,對于電源模塊的散熱和通用能力有更高的要求。天芯互聯(lián)能夠依托晶圓級封裝(WLP)、系統(tǒng)級封裝(SiP)和板級扇出封裝(FOPLP)平臺提供領(lǐng)先的電源系統(tǒng)方案。比如在板級扇出封裝(FOPLP)平臺里,天芯互聯(lián)把GaN、控制芯片和電感合封,不僅效率更高、體積更小,而且還可以實現(xiàn)共同散熱。

在高性能計算芯片方面,最典型的例子是GPGPU。當(dāng)前,GPGPU依然是AI訓(xùn)練任務(wù)的基石。根據(jù)Verified Market Research預(yù)測,2028年全球GPU市場規(guī)模將達(dá)到2465.1億美元,主要應(yīng)用領(lǐng)域包括AI、數(shù)據(jù)中心和智能汽車等。蕪湖立德智興半導(dǎo)體有限公司CTO李元雄專門分析了萬億晶體管級的GPU芯片如何通過先進(jìn)封裝技術(shù)來實現(xiàn)。

wKgaomaU-DiAESdhABAfcnsicDI837.jpg
蕪湖立德智興半導(dǎo)體有限公司CTO李元雄

李元雄指出,萬億晶體管規(guī)模的GPU的實現(xiàn)方式就是橫向擴(kuò)展和堆疊。在堆疊方面,英特爾公司采用的是3D封裝技術(shù)Foveros,可以在處理器制造過程中以垂直方式堆疊計算模塊,而不是傳統(tǒng)的水平方式。臺積電則采用了SoIC(系統(tǒng)級集成單芯片),能夠在創(chuàng)造鍵合界面讓計算模塊可以直接堆疊在芯片上。封裝技術(shù)主要指標(biāo)為凸點(diǎn)間距,凸點(diǎn)間距越小,封裝集成度越高、難度越大。臺積電的3D SoIC的凸點(diǎn)間距最小可達(dá)6um,居于所有封裝技術(shù)首位。為了實現(xiàn)這種堆疊,還需要配套的連接技術(shù),比如臺積電使用的高密度硅通孔(TSV),和高精度納米級的混合鍵合(Hybrid bonding)。

在橫向拓展上主要手段有扇出型晶圓級封裝工藝等,需要重構(gòu)晶圓,挑戰(zhàn)在于保證重構(gòu)晶圓達(dá)到一定的精度。立德智興提供了一款關(guān)鍵設(shè)備,不僅提升了重構(gòu)晶圓的精度,還提供自動光學(xué)檢測,來提升芯片的可靠性。

結(jié)語

先進(jìn)封裝是當(dāng)前和未來芯片產(chǎn)業(yè)發(fā)展的重點(diǎn),是打造高性能計算芯片的主要手段。當(dāng)然,無論是Chiplet,還是2.5D/3D封裝,都有一些額外的挑戰(zhàn),比如大封裝的翹曲和散熱,異構(gòu)集成的供電等問題。產(chǎn)業(yè)界依然在探索如何用更好的方式實現(xiàn)先進(jìn)封裝,這也需要制造和封裝巨頭起到更好的引導(dǎo)作用,先進(jìn)封裝需要標(biāo)準(zhǔn)引領(lǐng)。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • soc
    soc
    +關(guān)注

    關(guān)注

    38

    文章

    4021

    瀏覽量

    217011
  • AI
    AI
    +關(guān)注

    關(guān)注

    87

    文章

    28860

    瀏覽量

    266176
  • chiplet
    +關(guān)注

    關(guān)注

    6

    文章

    404

    瀏覽量

    12513
  • 先進(jìn)封裝
    +關(guān)注

    關(guān)注

    1

    文章

    336

    瀏覽量

    177
  • 2.5D封裝
    +關(guān)注

    關(guān)注

    0

    文章

    18

    瀏覽量

    84
收藏 人收藏

    評論

    相關(guān)推薦

    2.5D/3D封裝技術(shù)升級,拉高AI芯片性能天花板

    2.5D/3D封裝Chiplet等得到了廣泛應(yīng)用。 ? 根據(jù)研究機(jī)構(gòu)的調(diào)研,到2028年,2.5D及3
    的頭像 發(fā)表于 07-11 01:12 ?5770次閱讀

    探秘2.5D與3D封裝技術(shù):未來電子系統(tǒng)的新篇章

    2.5D封裝技術(shù)指的是將多個異構(gòu)的芯片,比如邏輯芯片、存儲芯片,通過硅中介層(Interposer)連接在一起的技術(shù)。這個中介層通常是一塊
    的頭像 發(fā)表于 07-30 10:54 ?268次閱讀

    臺積電封裝產(chǎn)能需求穩(wěn)健,與日月光伙伴合作滿足客戶需求

    臺積電現(xiàn)正與日月光緊密合作,后者擁有全面的2.5D CoWoS封裝及測試能力。隨著人工智能(AI)日益普及,先進(jìn)封裝
    的頭像 發(fā)表于 04-23 09:45 ?371次閱讀

    2.5D與3D封裝技術(shù):未來電子系統(tǒng)的新篇章

    2.5D封裝技術(shù)指的是將多個異構(gòu)的芯片,比如邏輯芯片、存儲芯片,通過硅中介層(Interposer)連接在一起的技術(shù)。這個中介層通常是一塊
    的頭像 發(fā)表于 04-18 13:35 ?547次閱讀

    云天半導(dǎo)體突破2.5D高密度玻璃中介層技術(shù)

    隨著人工智能的興起,2.5D中介層轉(zhuǎn)接板作為先進(jìn)封裝集成的關(guān)鍵技術(shù),近年來得到迅猛發(fā)展。
    的頭像 發(fā)表于 03-06 09:44 ?824次閱讀
    云天半導(dǎo)體突破<b class='flag-5'>2.5D</b>高密度玻璃中介層<b class='flag-5'>技術(shù)</b>

    臺積電積極擴(kuò)大2.5D封裝產(chǎn)能以滿足英偉達(dá)AI芯片需求

    自去年以來,隨著英偉達(dá)AI芯片需求的迅猛增長,作為其制造及封裝合作伙伴的臺積電(TSMC)在先進(jìn)封裝技術(shù)
    的頭像 發(fā)表于 02-06 16:47 ?5015次閱讀

    探秘2.5D與3D封裝技術(shù):未來電子系統(tǒng)的新篇章!

    隨著集成電路技術(shù)的飛速發(fā)展,封裝技術(shù)作為連接芯片與外部世界的重要橋梁,也在不斷地創(chuàng)新與演進(jìn)。2.5D封裝和3
    的頭像 發(fā)表于 02-01 10:16 ?3178次閱讀
    探秘<b class='flag-5'>2.5D</b>與3<b class='flag-5'>D</b><b class='flag-5'>封裝</b><b class='flag-5'>技術(shù)</b>:未來電子系統(tǒng)的新篇章!

    2.5D和3D封裝的差異和應(yīng)用

    2.5D 和 3D 半導(dǎo)體封裝技術(shù)對于電子設(shè)備性能至關(guān)重要。這兩種解決方案都不同程度地增強(qiáng)了性能、減小了尺寸并提高了能效。2.5D
    的頭像 發(fā)表于 01-07 09:42 ?1399次閱讀
    <b class='flag-5'>2.5D</b>和3<b class='flag-5'>D</b><b class='flag-5'>封裝</b>的差異和應(yīng)用

    先進(jìn)封裝 Chiplet 技術(shù)AI 芯片發(fā)展

    、主流技術(shù)和應(yīng)用場景,以及面臨的挑戰(zhàn)和問題。進(jìn)而提出采用Chiplet技術(shù),將不同的功能模塊獨(dú)立集成為獨(dú)立的Chiplet,并融合在一個
    的頭像 發(fā)表于 12-08 10:28 ?555次閱讀
    <b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b> <b class='flag-5'>Chiplet</b> <b class='flag-5'>技術(shù)</b>與 <b class='flag-5'>AI</b> 芯片發(fā)展

    智原推出2.5D/3D先進(jìn)封裝服務(wù), 無縫整合小芯片

    (Interposer)制造服務(wù)以連接小芯片(Chiplets),并與一流的晶圓代工廠和測試封裝供貨商緊密合作,確保產(chǎn)能、良率、質(zhì)量、可靠性和生產(chǎn)進(jìn)度,從而實現(xiàn)多源小芯片的無縫整合,進(jìn)而保證項目的成功。 智原不僅專注于技術(shù),更為每位客戶量身打造
    的頭像 發(fā)表于 11-20 18:35 ?383次閱讀

    奇異摩爾與智原科技聯(lián)合發(fā)布 2.5D/3DIC整體解決方案

    作為全球領(lǐng)先的互聯(lián)產(chǎn)品和解決方案公司,奇異摩爾期待以自身 Chiplet 互聯(lián)芯粒、網(wǎng)絡(luò)加速芯粒產(chǎn)品及全鏈路解決方案,結(jié)合智原全面的先進(jìn)封裝一站式服務(wù),通力協(xié)作,深耕 2.5D int
    的頭像 發(fā)表于 11-12 10:06 ?738次閱讀

    什么是先進(jìn)封裝?先進(jìn)封裝技術(shù)包括哪些技術(shù)

    半導(dǎo)體產(chǎn)品在由二維向三維發(fā)展,從技術(shù)發(fā)展方向半導(dǎo)體產(chǎn)品出現(xiàn)了系統(tǒng)級封裝(SiP)新的封裝方式,從技術(shù)實現(xiàn)方法出現(xiàn)了倒裝(FlipChip)
    發(fā)表于 10-31 09:16 ?1663次閱讀
    什么是<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>?<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b><b class='flag-5'>技術(shù)</b>包括哪些<b class='flag-5'>技術(shù)</b>

    Chiplet需求飆升 為何chiplet產(chǎn)能無法迅速提高?

    制造2D2.5D multi-die的技術(shù)已存在了近十年。然而,在Generative AI時代來臨之前,chiplet
    的頭像 發(fā)表于 10-23 15:11 ?750次閱讀
    <b class='flag-5'>Chiplet</b><b class='flag-5'>需求</b>飆升 為何<b class='flag-5'>chiplet</b>產(chǎn)能無法迅速提高?

    Chiplet主流封裝技術(shù)都有哪些?

    不同的連接技術(shù)把它們拼裝在一起,以實現(xiàn)更高效和更高性能的芯片設(shè)計。本文將會詳盡、詳實、細(xì)致地介紹Chiplet主流的封裝技術(shù)。 1. 面向異構(gòu)集成的
    的頭像 發(fā)表于 09-28 16:41 ?1741次閱讀

    淺析先進(jìn)封裝的四大核心技術(shù)

    先進(jìn)封裝技術(shù)以SiP、WLP、2.5D/3D為三大發(fā)展重點(diǎn)。先進(jìn)
    發(fā)表于 09-28 15:29 ?3001次閱讀
    淺析<b class='flag-5'>先進(jìn)</b><b class='flag-5'>封裝</b>的四大核心<b class='flag-5'>技術(shù)</b>