0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

移位寄存器的工作原理和類型

CHANBAEK ? 來源:網(wǎng)絡(luò)整理 ? 作者:網(wǎng)絡(luò)整理 ? 2024-08-02 18:11 ? 次閱讀

移位寄存器概述

移位寄存器是計(jì)算機(jī)中常用的一種寄存器類型,它能夠按位進(jìn)行數(shù)據(jù)的左移或右移操作。作為數(shù)字電路中的基本元件,移位寄存器由多個(gè)觸發(fā)器構(gòu)成,每個(gè)觸發(fā)器可以存儲(chǔ)一個(gè)二進(jìn)制位。這些觸發(fā)器按照一定的順序串聯(lián)在一起,形成一個(gè)能夠存儲(chǔ)和移位數(shù)據(jù)的邏輯單元。在數(shù)字電路設(shè)計(jì)和數(shù)據(jù)處理中,移位寄存器被廣泛應(yīng)用于移位運(yùn)算、數(shù)據(jù)傳輸、序列生成等多個(gè)方面。

移位寄存器的工作原理

移位寄存器不僅能寄存數(shù)據(jù),而且能在時(shí)鐘信號(hào)的作用下使其中的數(shù)據(jù)依次左移或右移。

image.png

四位移位寄存器的原理圖如圖1所示。FF0、FF1、FF2、FF3是四個(gè)邊沿觸發(fā)的D觸發(fā)器,每個(gè)觸發(fā)器的輸出端Q接到右邊一個(gè)觸發(fā)器的輸入端D。因?yàn)閺臅r(shí)鐘信號(hào)CP的上升沿加到觸發(fā)器上開始到輸出端新狀態(tài)穩(wěn)定地建立起來有一段延遲時(shí)間,所以當(dāng)時(shí)鐘信號(hào)同時(shí)加到四個(gè)觸發(fā)器上時(shí),每個(gè)觸發(fā)器接收的都是左邊一個(gè)觸發(fā)器中原來的數(shù)據(jù)(FF0接收的輸入數(shù)據(jù)D1)。寄存器中的數(shù)據(jù)依次右移一位。

移位寄存器的核心結(jié)構(gòu)是由一系列觸發(fā)器構(gòu)成的,每個(gè)觸發(fā)器可以存儲(chǔ)一位二進(jìn)制數(shù)據(jù)。在時(shí)鐘脈沖的控制下,這些觸發(fā)器中的數(shù)據(jù)會(huì)按照指定的方向(左移或右移)逐位移動(dòng)。當(dāng)一個(gè)時(shí)鐘周期到來時(shí),寄存器中的每一位數(shù)據(jù)都會(huì)向指定的方向移動(dòng)一位,最邊上的數(shù)據(jù)則會(huì)被新輸入的數(shù)據(jù)或者被設(shè)置為預(yù)先定義的狀態(tài)替換。

根據(jù)移位方向的不同,移位寄存器可分為左移寄存器、右移寄存器和雙向移位寄存器。左移寄存器在接收到時(shí)鐘信號(hào)時(shí),數(shù)據(jù)逐位向左移動(dòng),最高位的數(shù)據(jù)移出,低位補(bǔ)入新數(shù)據(jù);右移寄存器則相反,數(shù)據(jù)逐位向右移動(dòng),最低位的數(shù)據(jù)移出,高位補(bǔ)入新數(shù)據(jù);雙向移位寄存器則更為靈活,可以根據(jù)控制信號(hào)決定數(shù)據(jù)是向左還是向右移動(dòng)。

此外,還有循環(huán)移位寄存器,它可以實(shí)現(xiàn)數(shù)據(jù)在寄存器內(nèi)部循環(huán)移位,即將移出的數(shù)據(jù)重新加載到另一端,形成一個(gè)閉合的數(shù)據(jù)通道。這種移位方式在特定應(yīng)用場(chǎng)景下非常有用,如循環(huán)計(jì)數(shù)、循環(huán)序列生成等。

移位寄存器的類型

移位寄存器主要根據(jù)其工作模式(串行或并行)分為幾類。下面列出了幾種基本移位寄存器,盡管其中一些可以根據(jù)數(shù)據(jù)流的方向進(jìn)一步劃分,右移還是左移。

  1. 串口輸入—串口移位寄存器 (SISO)
  2. 串行輸入—并行輸出移位寄存器 (SIPO)
  3. 并聯(lián)輸入—并聯(lián)輸出移位寄存器 (PIPO)
  4. 并聯(lián)輸入—串行移位寄存器 (PISO)
  5. 雙向移位寄存器

1. 串行輸入—串行輸出移位寄存器

串行輸入 – 串行輸出移位寄存器,它以串行方式(每個(gè)時(shí)鐘周期一位)流式傳入數(shù)據(jù),并以相同的方式逐個(gè)流出數(shù)據(jù)。

image.png

一個(gè)簡(jiǎn)單的4位串行輸入 – 串行輸出移位寄存器如上所示,寄存器由4個(gè)觸發(fā)器組成,其工作原理如下所述;

啟動(dòng)時(shí),首先復(fù)位移位寄存器,所有觸發(fā)器的輸出為零,然后將輸入數(shù)據(jù)串行施加到輸入端,一次一位。

2. 串行輸入—并行輸出移位寄存器

這類移位寄存器用于將數(shù)據(jù)從串行轉(zhuǎn)換為并行。每個(gè)時(shí)鐘周期的數(shù)據(jù)一個(gè)接一個(gè)地輸入,這意味著當(dāng)數(shù)據(jù)被讀入時(shí),每個(gè)讀入位在其各自的輸出線上同時(shí)輸出(Q0 – Q3,對(duì)于如下所示的4位移位寄存器)。4位串行輸入—并行輸出移位寄存器如下圖所示。

image.png

3. 并行輸入—串行輸出移位寄存器

在并行輸入—串行輸出移位寄存器中,數(shù)據(jù)是并行輸入的,例如如下所示的4位寄存器。該寄存器可用于存儲(chǔ)和移位4bit數(shù)據(jù),WS控制控制移位寄存器的工作模式(寫入/移位)。當(dāng)WS控制線為低電平(寫入模式)時(shí),數(shù)據(jù)可以通過D0到D3寫入寄存器。為了連續(xù)地將數(shù)據(jù)移出,WS控制線被置于HIGH(移位模式),然后寄存器在時(shí)鐘輸入時(shí)將數(shù)據(jù)移出。

image.png

4. 并行輸入—并行輸出移位寄存器

對(duì)于并聯(lián)輸入– 并聯(lián)輸出移位寄存器,并行輸出端的輸出數(shù)據(jù)在輸入數(shù)據(jù)輸入時(shí)同時(shí)出現(xiàn)。當(dāng)器件計(jì)時(shí)時(shí),從D0到D3的每個(gè)輸入引腳的輸入數(shù)據(jù)同時(shí)輸入,同時(shí),從每個(gè)輸入的數(shù)據(jù)在相應(yīng)的輸出(從Q0到Q3)處輸出。

image.png

5. 雙向移位寄存器

移位寄存器可以執(zhí)行右移或左移,或兩者,具體取決于移位寄存器的類型及其配置。在右移操作中,二進(jìn)制數(shù)據(jù)除以二。反之,則二進(jìn)制數(shù)據(jù)將乘以 2。通過適當(dāng)?shù)慕M合邏輯,可以將串行移位寄存器配置為執(zhí)行這兩種操作。

請(qǐng)考慮下圖中的 4 位寄存器。Left /Right用于控制移動(dòng)方向,向右還是向左。

image.png

移位寄存器的應(yīng)用

移位寄存器在數(shù)字電路和計(jì)算機(jī)系統(tǒng)中具有廣泛的應(yīng)用,以下是其主要應(yīng)用領(lǐng)域的詳細(xì)闡述:

1. 數(shù)據(jù)的串并轉(zhuǎn)換

在計(jì)算機(jī)領(lǐng)域,數(shù)據(jù)可以以串行方式(一位一位地依次傳輸)或并行方式(同時(shí)傳輸多個(gè)位)進(jìn)行存儲(chǔ)和傳輸。移位寄存器可以將串行數(shù)據(jù)轉(zhuǎn)換為并行數(shù)據(jù),或者將并行數(shù)據(jù)轉(zhuǎn)換為串行數(shù)據(jù)。這種轉(zhuǎn)換功能在數(shù)字通信、數(shù)據(jù)存儲(chǔ)和處理器設(shè)計(jì)中尤為重要。例如,在UART(通用異步收發(fā)傳輸器)接口中,移位寄存器就用于實(shí)現(xiàn)串行數(shù)據(jù)的發(fā)送和接收。

2. 位操作和位運(yùn)算

在計(jì)算機(jī)的邏輯運(yùn)算中,經(jīng)常需要對(duì)數(shù)據(jù)的每一位進(jìn)行操作,如邏輯左移、邏輯右移、位與、位或、位非等。移位寄存器可以通過控制信號(hào)實(shí)現(xiàn)這些位操作,方便地處理各種位運(yùn)算。在編碼、解碼、壓縮、解壓縮等算法中,移位寄存器的位操作功能得到廣泛應(yīng)用。

3. 時(shí)序控制

時(shí)序控制是計(jì)算機(jī)中非常重要的一項(xiàng)功能,它確保各個(gè)部件在正確的時(shí)序下協(xié)同工作。移位寄存器可以作為時(shí)序控制電路的一部分,用于存儲(chǔ)和傳輸時(shí)鐘信號(hào)。通過向移位寄存器輸入時(shí)鐘信號(hào),可以控制整個(gè)系統(tǒng)的時(shí)序,確保各個(gè)部件按照正確的順序和時(shí)間間隔進(jìn)行工作。

4. 串行通信

在數(shù)字通信中,數(shù)據(jù)的傳輸和接收常常需要通過位移操作來實(shí)現(xiàn)。移位寄存器在串行通信中扮演著重要角色,它可以用于數(shù)據(jù)的編碼、解碼和校驗(yàn)等過程,保證數(shù)據(jù)的完整性和可靠性。在串行通信接口中,如RS-232、SPI、I2C等,移位寄存器被廣泛用于解決數(shù)據(jù)的同步問題,確保數(shù)據(jù)的正確接收和解析。

5. 數(shù)據(jù)存儲(chǔ)和傳輸

在計(jì)算機(jī)系統(tǒng)中,移位寄存器可用作臨時(shí)存儲(chǔ)器,將數(shù)據(jù)暫存于其中,隨后可以按需傳送到其他功能模塊進(jìn)行處理。移位寄存器的快速讀寫特性保證了數(shù)據(jù)的高效存儲(chǔ)和傳輸,提高了計(jì)算機(jī)系統(tǒng)的工作效率。此外,移位寄存器還可以用于數(shù)據(jù)的緩沖和對(duì)齊,確保數(shù)據(jù)在傳輸過程中不會(huì)出現(xiàn)丟失或錯(cuò)位。

6. 編碼和解碼

在數(shù)字通信中,為了提高數(shù)據(jù)傳輸?shù)目煽啃院蛶捓寐?,常常需要?duì)數(shù)據(jù)進(jìn)行編碼和解碼。移位寄存器可以用于數(shù)據(jù)的編碼,將原始數(shù)據(jù)進(jìn)行壓縮和編碼,減少傳輸帶寬的占用。同時(shí),移位寄存器還可以用于數(shù)據(jù)的解碼,將接收到的編碼數(shù)據(jù)進(jìn)行還原,恢復(fù)出原始數(shù)據(jù)。這種編碼和解碼功能在數(shù)字信號(hào)處理、數(shù)據(jù)傳輸和壓縮算法中非常重要。

7. 加密和解密

信息安全領(lǐng)域,數(shù)據(jù)加密是非常重要的一項(xiàng)技術(shù)。移位寄存器可以通過位移操作和異或運(yùn)算等簡(jiǎn)單操作實(shí)現(xiàn)數(shù)據(jù)的加密和解密功能。通過合理的位移規(guī)則和密鑰設(shè)置,移位寄存器可以保護(hù)數(shù)據(jù)的安全性,防止未授權(quán)的訪問和篡改。雖然這種加密方式相對(duì)簡(jiǎn)單,但在一些對(duì)安全性要求不是特別高的場(chǎng)合下仍然具有一定的應(yīng)用價(jià)值。

8. 其他應(yīng)用

除了上述應(yīng)用外,移位寄存器還可以用于實(shí)現(xiàn)各種數(shù)字邏輯電路和算法。例如,在數(shù)字信號(hào)處理(DSP)算法中,移位寄存器可以方便地實(shí)現(xiàn)數(shù)據(jù)的延遲操作;在計(jì)數(shù)器設(shè)計(jì)中,移位寄存器可以構(gòu)成循環(huán)計(jì)數(shù)器或可逆計(jì)數(shù)器;在序列生成器中,移位寄存器可以生成各種周期性的序列等。

移位寄存器的重要性

1. 靈活性與多樣性

移位寄存器的設(shè)計(jì)靈活多樣,可以根據(jù)需要配置為左移、右移或雙向移位,甚至可以實(shí)現(xiàn)循環(huán)移位。這種靈活性使得移位寄存器能夠適應(yīng)各種復(fù)雜的電路設(shè)計(jì)和應(yīng)用場(chǎng)景,從簡(jiǎn)單的數(shù)據(jù)傳輸?shù)綇?fù)雜的算法實(shí)現(xiàn),都能找到移位寄存器的身影。

2. 高效的數(shù)據(jù)處理能力

在數(shù)據(jù)處理領(lǐng)域,移位寄存器通過其高效的移位操作,能夠快速地實(shí)現(xiàn)數(shù)據(jù)的串并轉(zhuǎn)換、位運(yùn)算、數(shù)據(jù)壓縮與解壓等功能。這些操作對(duì)于提高數(shù)據(jù)處理速度和效率至關(guān)重要,特別是在高速數(shù)據(jù)傳輸和實(shí)時(shí)處理系統(tǒng)中,移位寄存器的應(yīng)用顯得尤為重要。

3. 降低系統(tǒng)復(fù)雜度

在復(fù)雜的計(jì)算機(jī)系統(tǒng)中,各個(gè)部件之間的數(shù)據(jù)同步和協(xié)調(diào)是一個(gè)巨大的挑戰(zhàn)。移位寄存器通過其時(shí)序控制功能,能夠簡(jiǎn)化系統(tǒng)內(nèi)部的數(shù)據(jù)傳輸和同步過程,降低系統(tǒng)的整體復(fù)雜度。同時(shí),移位寄存器還可以作為臨時(shí)存儲(chǔ)器,緩解系統(tǒng)內(nèi)部的數(shù)據(jù)存儲(chǔ)壓力,提高系統(tǒng)的穩(wěn)定性和可靠性。

4. 廣泛的應(yīng)用領(lǐng)域

移位寄存器的應(yīng)用領(lǐng)域極為廣泛,幾乎涵蓋了所有需要數(shù)字電路處理的場(chǎng)合。從通信設(shè)備的串行通信接口,到計(jì)算機(jī)系統(tǒng)的數(shù)據(jù)緩存和時(shí)序控制;從數(shù)字信號(hào)處理算法的延遲操作,到加密解密技術(shù)的簡(jiǎn)單實(shí)現(xiàn);移位寄存器都發(fā)揮著不可或缺的作用。其廣泛的應(yīng)用領(lǐng)域使得移位寄存器成為數(shù)字電路設(shè)計(jì)中不可或缺的一部分。

5. 推動(dòng)技術(shù)進(jìn)步

隨著科技的不斷發(fā)展,移位寄存器的設(shè)計(jì)和應(yīng)用也在不斷進(jìn)步。從最初的簡(jiǎn)單移位寄存器,到如今的集成度高、功能強(qiáng)大的復(fù)雜移位寄存器;從單一的移位功能,到結(jié)合其他數(shù)字電路元素實(shí)現(xiàn)更復(fù)雜的邏輯運(yùn)算;移位寄存器的技術(shù)進(jìn)步推動(dòng)了整個(gè)數(shù)字電路領(lǐng)域的發(fā)展。同時(shí),隨著新技術(shù)、新應(yīng)用的不斷涌現(xiàn),移位寄存器的應(yīng)用前景也將更加廣闊。

6. 教育與研究?jī)r(jià)值

在教育和研究領(lǐng)域,移位寄存器也具有重要的價(jià)值。作為數(shù)字電路中的基礎(chǔ)元件之一,移位寄存器是學(xué)生學(xué)習(xí)數(shù)字電路設(shè)計(jì)和理解計(jì)算機(jī)工作原理的重要工具。通過研究和應(yīng)用移位寄存器,學(xué)生可以深入理解數(shù)字電路的工作原理和設(shè)計(jì)方法,為未來的學(xué)習(xí)和研究打下堅(jiān)實(shí)的基礎(chǔ)。同時(shí),移位寄存器也是計(jì)算機(jī)科學(xué)研究中的重要對(duì)象之一,其性能和應(yīng)用的研究對(duì)于推動(dòng)計(jì)算機(jī)科學(xué)的發(fā)展具有重要意義。

綜上所述,移位寄存器作為數(shù)字電路中的基礎(chǔ)元件之一,具有廣泛的應(yīng)用和重要的價(jià)值。其獨(dú)特的移位功能、高效的數(shù)據(jù)處理能力、廣泛的應(yīng)用領(lǐng)域以及推動(dòng)技術(shù)進(jìn)步的能力使得移位寄存器在數(shù)字電路設(shè)計(jì)和計(jì)算機(jī)系統(tǒng)中發(fā)揮著不可或缺的作用。隨著科技的不斷發(fā)展和應(yīng)用領(lǐng)域的不斷拓展,移位寄存器的應(yīng)用前景將更加廣闊和美好。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119205
  • 計(jì)算機(jī)
    +關(guān)注

    關(guān)注

    19

    文章

    7174

    瀏覽量

    87157
  • 移位寄存器
    +關(guān)注

    關(guān)注

    2

    文章

    253

    瀏覽量

    22167
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60871
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    移位寄存器工作原理是什么?具有哪些功能應(yīng)用?

    時(shí)序邏輯電路的設(shè)計(jì)步驟和方法是什么?移位寄存器工作原理是什么?具有哪些功能應(yīng)用?4位雙向移位寄存器的邏輯功能是什么?
    發(fā)表于 11-03 07:54

    線性移位寄存器

    線性移位寄存器移位寄存器可以構(gòu)成序列信號(hào)發(fā)生,其電路結(jié)構(gòu)如下圖所示。組合電路從移位寄存器取得信息,產(chǎn)生反饋信號(hào)加
    發(fā)表于 01-12 14:14 ?1906次閱讀
    線性<b class='flag-5'>移位寄存器</b>

    移位寄存器,移位寄存器是什么意思

    移位寄存器,移位寄存器是什么意思 移位寄存器_
    發(fā)表于 03-08 14:50 ?1.7w次閱讀

    移位寄存器工作原理是什么?

    移位寄存器工作原理是什么? 把若干個(gè)觸發(fā)串接起來,就可以構(gòu)成一個(gè)移位寄存器。由4個(gè)邊沿D 觸發(fā)構(gòu)成的4位
    發(fā)表于 03-08 14:56 ?4.5w次閱讀

    寄存器移位寄存器

    寄存器移位寄存器:介紹寄存器原理和移位寄存器的原理及實(shí)現(xiàn)。
    發(fā)表于 05-20 11:47 ?0次下載

    移位寄存器實(shí)驗(yàn)報(bào)告_移位寄存器原理

    本文以移位寄存器為中心,主要介紹了移位寄存器的特點(diǎn)、移位寄存器原理。以及詳細(xì)的說明了移位寄存器實(shí)驗(yàn)報(bào)告。
    發(fā)表于 12-22 14:29 ?2.8w次閱讀
    <b class='flag-5'>移位寄存器</b>實(shí)驗(yàn)報(bào)告_<b class='flag-5'>移位寄存器</b>原理

    移位寄存器的特點(diǎn)_移位寄存器工作原理

    目前移位寄存器在電路中已經(jīng)得到普遍使用。本文以移位寄存器為中心。主要介紹了移位寄存器分類、移位寄存器的特點(diǎn),以及詳細(xì)的說明了移位寄存器
    發(fā)表于 12-22 15:20 ?4.9w次閱讀
    <b class='flag-5'>移位寄存器</b>的特點(diǎn)_<b class='flag-5'>移位寄存器</b><b class='flag-5'>工作原理</b>

    移位寄存器怎么用_如何使用移位寄存器_移位寄存器的用途

    移位寄存器是一個(gè)具有移位功能的寄存器,是指寄存器中所存的代碼能夠在移位脈沖的作用下依次左移或右移。本文主要介紹了
    發(fā)表于 12-22 15:49 ?2w次閱讀

    移位寄存器的原理

    移位寄存器按照不同的分類方法可以分為不同的類型。 如果按照移位寄存器移位方向來進(jìn)行分類, 可以分為左移移位寄存器、
    發(fā)表于 07-15 09:38 ?7.4w次閱讀
    <b class='flag-5'>移位寄存器</b>的原理

    移位寄存器工作原理

    移位寄存器有不同的版本,可用于各種各樣的應(yīng)用程序。本文將向您介紹移位寄存器并說明它們的工作原理。此外,它還將解釋如何將它們用于將多條并行數(shù)據(jù)線轉(zhuǎn)換為單個(gè)串行連接。
    的頭像 發(fā)表于 07-30 14:19 ?4.3w次閱讀
    <b class='flag-5'>移位寄存器</b>的<b class='flag-5'>工作原理</b>

    移位寄存器工作原理 移位寄存器左移和右移怎么算

    移位寄存器是一種用于在數(shù)字電路中實(shí)現(xiàn)數(shù)據(jù)移位操作的基本電路元件。它由多個(gè)觸發(fā)以及相關(guān)控制電路組成,具有存儲(chǔ)、接受和移動(dòng)數(shù)據(jù)的功能。移位寄存器可以分為兩種
    的頭像 發(fā)表于 01-18 10:52 ?6008次閱讀

    移位寄存器的功能是什么 移位寄存器工作原理

    移位寄存器(Shift Register)是一種在數(shù)字電路中經(jīng)常使用的重要元件,其功能是接受和存儲(chǔ)數(shù)據(jù),并以有序的方式將數(shù)據(jù)位進(jìn)行移位操作。移位寄存器可以用來完成數(shù)據(jù)的平移、移位、串行
    的頭像 發(fā)表于 02-03 16:43 ?5103次閱讀

    移位寄存器工作原理與作用

    據(jù)傳輸、數(shù)字信號(hào)處理、序列生成等多個(gè)領(lǐng)域發(fā)揮著不可或缺的作用。本文將對(duì)移位寄存器工作原理和作用進(jìn)行詳細(xì)的闡述,旨在為讀者提供全面而深入的理解。
    的頭像 發(fā)表于 05-30 17:17 ?2038次閱讀

    移位寄存器中使用的儲(chǔ)存單元是什么

    是一種具有存儲(chǔ)和傳輸功能的數(shù)字電路,其基本功能是將輸入信號(hào)按照一定的順序進(jìn)行存儲(chǔ)和傳輸。移位寄存器廣泛應(yīng)用于數(shù)字信號(hào)處理、數(shù)據(jù)通信、計(jì)算機(jī)系統(tǒng)等領(lǐng)域。 1.1 移位寄存器工作原理 移位寄存器
    的頭像 發(fā)表于 07-12 10:21 ?250次閱讀

    移位寄存器工作原理類型及應(yīng)用

    。 一、移位寄存器工作原理 基本結(jié)構(gòu) 移位寄存器由多個(gè)存儲(chǔ)單元組成,每個(gè)存儲(chǔ)單元可以存儲(chǔ)一位二進(jìn)制數(shù)字。這些存儲(chǔ)單元通常按照線性順序排列,形成一個(gè)寄存器。
    的頭像 發(fā)表于 07-12 10:22 ?691次閱讀