0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

芯片設計流片、驗證、成本的那些事

漢通達 ? 2024-08-09 08:11 ? 次閱讀

前言

我們聊聊芯片設計、流片、驗證、制造、成本的那些事;流片對于芯片設計來說就是參加一次大考。

流片的重要性就在于能夠檢驗芯片設計是否成功,是芯片制造的關鍵環(huán)節(jié),也就是將設計好的方案交給芯片制造廠生產出樣品。檢測設計的芯片是否達到設計要求,或者是否需要進一步優(yōu)化;如果能夠生產出符合要求的芯片,那么就可以大規(guī)模生產了。

e418be60-55e3-11ef-817b-92fbcf53809c.png

上圖流程的輸入是芯片立項設計,輸出是做好的芯片晶圓。

一、晶圓術語

e43dd6b4-55e3-11ef-817b-92fbcf53809c.png

1. 芯片(chip、die)、器件(device)、電路(circuit)、微芯片(microchip)或條碼(bar):所有這些名詞指的是在晶圓表面占大部分面積的微芯片圖形;

2. 劃片線(scribe line、saw line)或街區(qū)(street、avenue):這些區(qū)域是在晶圓上用來分隔不同芯片之間的間隔區(qū)。劃片線通常是空白的,但有些公司在間隔區(qū)內放置對準標記,或測試的結構;

3. 工程實驗片(engineering die)和測試芯片(test die):這些芯片與正式芯片或電路芯片不同。它包括特殊的器件和電路模塊用于晶圓生產工藝的電性測試;

4. 邊緣芯片(edge die):在晶圓邊上的一些掩膜殘缺不全的芯片而產生的面積損耗。由于單個芯片尺寸增大而造成的更多邊緣浪費會由采用更大直徑晶圓所彌補。推動半導體工業(yè)向更大直徑晶圓發(fā)展的動力之一就是為了減少邊緣芯片所占的面積;

5. 晶圓的晶面(wafer crystal plane):圖中的剖面標示了器件下面的晶格構造,此圖中顯示的器件邊緣與晶格構造的方向是確定的;

6. 晶圓定位邊(wafer flats)/凹槽(notche):圖示的晶圓由注定位邊(major flat)和副定位邊(minor flat),表示這是一個P型<100>晶向的晶圓。300mm和450mm直徑的晶圓都是用凹槽作為晶格導向的標識。這些定位邊和凹槽在一些晶圓生產工藝中還輔助晶圓的套準。

二、芯片的流片方式(Full Mask、MPW)

Full Mask和MPW都是集成電路的一種流片(將設計結果交出去進行生產制造)方式。Full Mask是“全掩膜”的意思,即制造流程中的全部掩膜都為某個設計服務;而MPW 全稱為Multi Project Wafer,直譯為多項目晶圓,即多個項目共享某個晶圓,也即同一次制造流程可以承擔多個IC設計的制造任務。

1.Full Mask,“全掩膜”,即制造流程中的全部掩膜都為某個設計服務;Full Mask的芯片,一片晶圓可以產出上千片DIE;然后封裝成芯片,可以支撐大批量的客戶需求。

e462c820-55e3-11ef-817b-92fbcf53809c.png

2.MPW全名叫Multi Project Wafer,和電路設計PCB的拼板打樣類似,叫多項目晶圓。多項目晶圓就是將多個使用相同工藝的集成電路設計放在同一晶圓片上流片,制造完成后,每個設計可以得到數十片芯片樣品,這一數量對于原型(Prototype)設計階段的實驗、測試已經足夠。這種操作方式可以讓流片費下降90%-95%,也就大幅降低了芯片研發(fā)的成本。

e4984022-55e3-11ef-817b-92fbcf53809c.png

晶圓廠每年都會有固定的幾次MPW機會,叫Shuttle (班車),到點即發(fā)車,是不是非常形象不同公司拼Wafer,得有個規(guī)則,MPW按SEAT來鎖定面積,一個SEAT一般是3mm*4mm的一塊區(qū)域,一般晶圓廠為了保障不同芯片公司均能參與MPW,對每家公司預定的SEAT數目會限制(其實SEAT多成本就上去了,MPW意義也沒有了)。MPW優(yōu)勢投片成本小,一般就小幾十萬,可以很好降低風險;需要注意的是MPW從生產角度是一次完整的生產流程,因此其還是一樣耗時間,一次MPW一般需要6~9個月,會帶來芯片的交付時間后延。

因為是拼Wafer,因此通過MPW拿到的芯片數目就會很有限,主要用于芯片公司內部做驗證測試,也可能會提供給極少數的頭部客戶。從這里大家可能已經了解了,MPW是一個不完整的,不可量產的投片。

3.晶圓生產角度介紹MPW

畢竟芯片加工還是一個相對復雜的過程,我相信很多朋友看完第一和小二之前理解的晶圓結構,是下圖的,一個框歸屬于一個芯片公司。

e4ce0ae0-55e3-11ef-817b-92fbcf53809c.png

實則不然,這就需要和晶圓的生產流程的光刻技術相關了;現階段的光刻技術DUV/EUV等,大多采用縮影的方式進行曝光,如下圖所示:

e5d894dc-55e3-11ef-817b-92fbcf53809c.png

采用1:5 放大的mask,對晶圓進行曝光,一次曝光的矩形區(qū)域通常稱為一個shot,完成曝光后,自動調整晶圓位置,對下個shot進行曝光,如此循環(huán)(Step-and-Repeat),直到整個晶圓完成曝光,而這一個Shot的區(qū)域,則是大家一起分擔SEAT的區(qū)域;

如下示意圖中,一個Shot里面劃分4個小格,每個格子給到一家廠商的設計,MPW晶圓一般20個以內用戶。

e6045054-55e3-11ef-817b-92fbcf53809c.png

三、芯片ECO流程

ECO 指的是Engineering Change Order,即工程變更指令。ECO可以發(fā)生在Tapeout之前,過程中,或者之后;Tapeout之后的ECO,改動少的可能僅需要改幾層Metal layer,改動大可能需要動十幾層Metal layer,甚至重新流片。ECO 的實現流程如下圖所示:

e624197a-55e3-11ef-817b-92fbcf53809c.png

如果MPW或者FullMask的芯片,驗證有功能或者性能缺陷,通過ECO對電路和標準單元布局進行小范圍調整,保持原設計布局布線結果基本不變的前提下做小規(guī)模優(yōu)化,修復芯片的剩余違例,最終達到芯片的簽核標準。不能通過后端布局布線的流程來修復違例(重新走一遍流程太費時了),而要通過ECO的流程來進行時序、DRC、 DRV以及功耗等優(yōu)化。

四、流片Corner

1.Corner是芯片制造是一個物理過程,存在著工藝偏差(包括摻雜濃度、擴散深度、刻蝕程度等),導致不同批次之間,同一批次不同晶圓之間,同一晶圓不同芯片之間情況都是不相同的。

e653ea4c-55e3-11ef-817b-92fbcf53809c.png

在一片wafer上,不可能每點的載流子平均漂移速度都是一樣的,隨著電壓、溫度不同,它們的特性也會不同,把他們分類就有了PVT(Process,Voltage,Temperature),而Process又分為不同的corner:TT:Typical N Typical P FF:Fast N Fast P SS:Slow N Slow P FS:Fast N Slow P SF:Slow N Fast P 第一個字母代表NMOS,第二個字母代表PMOS,都是針對不同濃度的N型和P型摻雜來說的。NMOS和PMOS在工藝上是獨立做出來的,彼此之間不會影響,但是對于電路,NMOS和PMOS是同時工作的,會出現NMOS快的同時PMOS也快,或者慢,所以會出現FF、SS、FS、SF四種情況。通過Process注入的調整,模擬器件速度快慢,同時根據偏差大小設定不同等級的FF和SS。正常情況下大部分是TT,而以上5種corner在+/-3sigma可以覆蓋約99.73%的范圍,這種隨機性的發(fā)生符合正態(tài)分布。

2.Corner wafer的意義在工程片流片的時候,FAB會pirun關鍵層次調整inline variation,有的還會下backup wafer以保證出貨的wafer器件on target,即在TT corner附近。如果單純是為了做一些樣品出來,只進行工程片流片,那可以不驗證corner,但如果為了后續(xù)量產準備,是必須要考慮corner的。由于工藝在制作過程中會有偏差,而corner是對產線正常波動的預估,FAB也會對量產芯片的corner驗證有所要求。所以在設計階段就要滿足corner,在各種corner和極限溫度條件下對電路進行仿真,使其在各種corner上都能正常工作,才能使最終生產出的芯片良率高。

3.Corner Split Table策略 對于產品來講,一般corner做到spec上,正常情況下spec有6個sigma,如FF2(或2FF)表示往快的方向偏2個Sigma,SS3(或3SS)表示往慢的方向偏3個Sigma。Sigma主要表征了Vt的波動,波動大sigma就大,這里3個sigma就是在工藝器件的spec線上,可以允許超出一點點,因為線上波動不可能正正好好做到spec上。

如下是55nm Logic工藝片的例,擬定的corner split table:

e684700e-55e3-11ef-817b-92fbcf53809c.png

①#1 & #2 兩片pilot wafer,一片盲封,一片測CP;

②#3 & #4 兩片hold在Contact,為后道改版預留工程wafer,可以節(jié)省ECO流片時間 ;

③#5~#12 八片hold在Poly,等pilot的結果看是否需要調整器件速度,并驗證corner;

④除了留有足夠的芯片用于測試驗證,Metal Fix,還應根據項目需求,預留盡可能多的wafer作為量產出貨。

4.確認Corner結果

首先,大部分都應該落于四個corner決定的window范圍內,如果出現大的偏差,那可能是工藝shift。如果各個corner的良率都沒影響符合預期,那說明工藝窗口充分。如果有個別條件良率低,那就需要調整工藝窗口。Corner wafer的目的是驗證設計余量,考察良率是否有損失。大體上,超出這個corner約束性能范圍內的芯片報廢。

Corner驗證對標的是WAT測試結果,一般由FAB主導,但是corner wafer的費用是由設計公司承擔的。一般成熟穩(wěn)定的工藝,同一片wafer上的芯片,同一批次的wafer甚至不同批次的wafer參數都是很接近的,偏差的范圍相對不會很大。工藝角(Process Corner)PVT(Precess Voltage Temperature)工藝誤差與雙極晶體管不同,在不同的晶片之間以及在不同的批次之間,MOSFETs參數變化很大。

為了在一定程度上減輕電路設計任務的困難,工藝工程師們要保證器件的性能在某個范圍內,大體上,他們以報廢超出這個性能范圍的芯片的措施來嚴格控制預期的參數變化。


①MOS管的快慢分別指閾值電壓的高低,快速對應閾值低,慢速對應閾值高。GBW=GM/CC ,其它條件相同情況下,vth越低,gm值越高,因此GBW越大,速度越快。(具體情況具體分析)

電阻的快慢。fast對應的是方塊電阻小,slow對應的是方塊電阻大。

電容的快慢。fast對應的是電容最小,slow對應的是容值最大。

五、流片成本和晶圓價格

40nm的流片Mask成本大概在80-90萬美元,晶圓成本每片在3000-4000美元左右,加上IP merge,七八百萬人民幣跑不掉了。

28nm工藝流片一次需要200萬美元;14nm工藝流片一次需要500萬美元;7nm工藝流片一次需要1500萬美元;5nm工藝流片一次4725萬美元;3nm工藝流片可能要上億美元;掩膜版、晶圓這兩項主要流片成本中,掩膜版最貴。

越先進的工藝節(jié)點,所需要的掩膜版層數就越多;因為每一層“掩膜板”對應涂抹一次光刻膠、曝光、顯影、刻蝕等操作,涉及材料成本、儀器折舊成本,這些成本都需要fabless客戶買單!

28nm大概需要40層,14nm工藝需要60張掩膜版;7nm工藝需要80張甚至上百張掩膜版;一層Mask 8萬美金,因此芯片必須量產,拉低成本!

40nm MCU工藝為例:如果生產10片晶圓,每片晶圓成本(90萬+ 4000*10)/10=9.4萬美元;生產10000片晶圓,每片晶圓成本(90萬+4000*10000)/10000=4090美元。(晶圓量越大越便宜,不同產家報價也不一樣。)

e6b3afcc-55e3-11ef-817b-92fbcf53809c.png

晶圓代工價格來源于網絡

臺積電今年給的最新報價:最先進的制程3nm,每片晶圓19865美元,折合人民幣大概14.2w左右。

結語

芯片從設計到成品有幾個重要環(huán)節(jié),分別是設計->流片->封裝->測試,但芯片成本構成的比例確大不相同,一般為人力成本20%,流片40%,封裝35%,測試5%。

芯片流片是高風險的事情,這個風險有多高,這個概率在15%-35%左右;不同的團隊和芯片種類概率也不一樣。有模擬芯片公司即使在團隊完備、思路清晰的情況下,還是耗了8年時間,歷經18次流片,才最終完成了傳感器模擬計算IP驗證,打造出了理想中的那顆超低功耗、超近傳感芯片。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • 晶圓
    +關注

    關注

    52

    文章

    4742

    瀏覽量

    127272
  • 芯片設計
    +關注

    關注

    15

    文章

    980

    瀏覽量

    54618
  • 芯片驗證
    +關注

    關注

    5

    文章

    34

    瀏覽量

    47143
  • 流片
    +關注

    關注

    0

    文章

    27

    瀏覽量

    9721
收藏 人收藏

    評論

    相關推薦

    的時間與金錢成本

    失敗更是最讓設計團隊頭疼的一件,之所以每次驗證是如此讓人提心吊膽的過程,不僅是因為設計過程中付出的心血,還有
    的頭像 發(fā)表于 09-22 08:16 ?7797次閱讀

    上網絡核心芯片驗證

    為提高芯片驗證與測試的可靠性,針對上網絡核心芯片的結構特點,設計出一種基于宿主機/目標機通信模式的測試系統(tǒng)。重點描述了測試系統(tǒng)軟硬件的設計與實現,并采用Stratix系列FPGA
    發(fā)表于 01-15 15:46 ?31次下載

    為什么芯片那么貴

    為什么芯片那么貴 一般來說晶圓廠的價格都很高,最高都上億了,這還單單是
    的頭像 發(fā)表于 08-09 10:24 ?1.6w次閱讀

    原型驗證即服務助力芯片設計

    成功無疑是所有芯片開發(fā)者的共同目標,否則耗時持久的努力和所產生的高昂成本都將付諸東流。基
    的頭像 發(fā)表于 01-19 08:54 ?2299次閱讀

    講講芯片制造那些事兒

    將硅錠切成1mm左右一片片的wafer(晶圓)。晶圓尺寸有大有小,比如8inch, 12inch的晶圓,光刻的時候直接刻整個圓,然后切下來好多小芯片
    發(fā)表于 02-02 15:46 ?3449次閱讀

    是德科技使用數字孿生信令實現先進的半導體原型設計

    來源:是德科技 · 測試平臺提供獨特的實時開發(fā)環(huán)境,可降低與硅基芯片原型設計和驗證相關的風險、成本和時間 · 與是德科技完整的全速數字孿生信號庫高度集成,可在
    的頭像 發(fā)表于 05-15 17:19 ?436次閱讀
    是德科技使用數字孿生信令實現先進的半導體<b class='flag-5'>流</b><b class='flag-5'>片</b>原型設計

    國芯科技:正在驗證chiplet芯片高性能互聯IP技術

    國芯科技(688262)。sh) 8月2日的投資者在互動平臺(interface),公司目前正在與合作伙伴一起驗證相關chiplet芯片高性能互聯IP技術,和上下游合作廠家積極開展
    的頭像 發(fā)表于 08-02 12:01 ?948次閱讀

    芯片后需要做哪些工作?

    芯片后需要進行一系列的工作,以確保芯片的正確性、穩(wěn)定性和性能。
    的頭像 發(fā)表于 09-02 17:25 ?2157次閱讀

    芯片是什么意思 芯片流程介紹

    芯片是什么意思 芯片流程介紹 芯片
    的頭像 發(fā)表于 09-02 17:36 ?1.1w次閱讀

    聊聊芯片設計、驗證、制造、成本那些

    的重要性就在于能夠檢驗芯片設計是否成功,是芯片制造的關鍵環(huán)節(jié),也就是將設計好的方案交給芯片制造廠生產出樣品。
    的頭像 發(fā)表于 10-07 17:34 ?2600次閱讀
    聊聊<b class='flag-5'>芯片</b>設計、<b class='flag-5'>流</b><b class='flag-5'>片</b>、<b class='flag-5'>驗證</b>、制造、<b class='flag-5'>成本</b>的<b class='flag-5'>那些</b><b class='flag-5'>事</b>

    聊聊芯片設計、那些

    ,一般晶圓廠為了保障不同芯片公司均能參與MPW,對每家公司預定的SEAT數目會限制(其實SEAT多成本就上去了,MPW意義也沒有了)。
    發(fā)表于 10-10 15:00 ?1578次閱讀
    聊聊<b class='flag-5'>芯片</b>設計、<b class='flag-5'>流</b><b class='flag-5'>片</b><b class='flag-5'>那些</b><b class='flag-5'>事</b>

    為什么這么貴?有沒有什么辦法來降低成本

    都知道,芯片研發(fā)是一件特別燒錢的事兒,對于(Tape-out)大家一定都不陌生。
    的頭像 發(fā)表于 10-23 09:10 ?3972次閱讀
    <b class='flag-5'>流</b><b class='flag-5'>片</b>為什么這么貴?有沒有什么辦法來降低<b class='flag-5'>流</b><b class='flag-5'>片</b><b class='flag-5'>成本</b>?

    什么是芯片芯片為什么這么貴?

    介紹了芯片的原理同時介紹了首顆極大規(guī)模全異步電路芯片成功。
    的頭像 發(fā)表于 11-30 10:30 ?2592次閱讀

    芯片設計企業(yè)如何降低成本,保證芯片順利量產?

    :英文 Tape Out。在集成電路設計領域,“”指的是“試生產”,就是說設計完電路以后,先生產幾片幾十,供測試用。如果測試通過,
    的頭像 發(fā)表于 01-08 17:18 ?3090次閱讀

    失敗那些事兒 如何提高芯片一次成功率降低設計成本?

    (tape-out)是指通過一系列工藝步驟在流水線上制造芯片,是集成電路設計的最后環(huán)節(jié),也就是送交制造。即為"試生產",是把電路設計
    的頭像 發(fā)表于 01-19 14:04 ?3794次閱讀