0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技Verdi調(diào)試平臺的功能

新思科技 ? 來源:新思科技 ? 2024-08-12 10:03 ? 次閱讀

對于大多數(shù)驗(yàn)證開發(fā)者來說,新一天的工作通常從理解和解決前一天的回歸調(diào)試失敗開始。經(jīng)過一晚的回歸運(yùn)行后,必須進(jìn)行一些常規(guī)步驟。日常任務(wù)包括查詢失敗的測試、重新生成調(diào)試數(shù)據(jù)庫、重新運(yùn)行仿真以獲取更多信息,以及確保不同功能場景和測試模式的正確性等。每個階段都可能耗費(fèi)大量時間,有時需要多次迭代,導(dǎo)致整個調(diào)試過程不斷延長。

為了應(yīng)對此類挑戰(zhàn),新一代新思科技Verdi調(diào)試平臺提供了多種功能,有助于避免在不同步驟之間來回切換。不過許多用戶對于重復(fù)仿真的過程仍存在疑問。本文詳細(xì)介紹了驗(yàn)證開發(fā)者在整個過程中可能要考慮的事項(xiàng),并解釋了用戶如何在交互模式下利用Verdi功能來減少重復(fù)操作并縮短根本原因分析的時間。

經(jīng)過一晚的回歸調(diào)試之后,我希望第二天早上調(diào)試文件已準(zhǔn)備就緒

“Verdi Instant Recall”功能對此很有幫助?;貧w運(yùn)行時,Verdi Instant Recall與新思科技VCS交互,提供調(diào)試信息,以便調(diào)試任何失敗的測試用例。調(diào)試信息包含了所發(fā)生的錯誤以及相關(guān)位置,開發(fā)者可借其明確錯誤類別和調(diào)試起始位置,而無需手動重新運(yùn)行仿真來生成其他調(diào)試數(shù)據(jù)。

wKgaoma5bYyAGqEJAAIfR6iAswc252.jpg

▲ 圖1 新思科技Verdi Instant Recall - 縮短回歸調(diào)試周轉(zhuǎn)時間(TAT)

在調(diào)試會話期間,我想運(yùn)行“假設(shè)分析”實(shí)驗(yàn),但又想避免重新編譯和重新運(yùn)行仿真

啟用Verdi交互調(diào)試模式后,用戶可進(jìn)行多項(xiàng)即時修改,以便在單次仿真中設(shè)置和驗(yàn)證實(shí)驗(yàn)。仿真激勵、更新隨機(jī)配置和重新隨機(jī)化等調(diào)整需要通過Verdi對單次仿真進(jìn)行假設(shè)分析來完成。此外,Verdi無需依賴于預(yù)先存在的覆蓋率觀察器代碼,便可即時生成隨機(jī)值的概率分布情況,進(jìn)而直觀地呈現(xiàn)SystemVerilog約束條件解算器求解空間的交互式分析。此功能還支持對激勵進(jìn)行微調(diào),以涵蓋一系列需關(guān)注的值,從而加快調(diào)試速度。

在調(diào)試會話期間,我想查看這些值在之前的時間點(diǎn)是如何變化的,并通過更多的實(shí)驗(yàn)來觀察這些變化

借助Verdi,用戶可通過反向調(diào)試機(jī)制做到這一點(diǎn)。為此,用戶通常要為需關(guān)注的點(diǎn)添加一些調(diào)試仿真斷點(diǎn)并重新啟動仿真,使其及時在斷點(diǎn)指定的時間點(diǎn)停下來。在Verdi交互模式下,用戶能夠以超高自由度來控制仿真后退或前進(jìn)到所指定的任何時間點(diǎn),比如某個事件發(fā)生、對象創(chuàng)建或值更改的時候。

wKgZoma5bYyAHCI2AAH6kO0sFE4981.jpg

▲ 圖2 新思科技Verdi Instant Recall - 縮短回歸調(diào)試周轉(zhuǎn)時間(TAT)

此外,這些實(shí)用功能還可以結(jié)合起來,進(jìn)一步加快調(diào)試流程。例如,在使用Instant Recall功能完成回歸分析之后,用戶可以根據(jù)錯誤類型選擇失敗的用例,并立即使用所生成的調(diào)試數(shù)據(jù)庫來啟動Verdi進(jìn)行調(diào)試。在調(diào)試過程中,如果需要調(diào)用前一個時間點(diǎn)的信號值,可以使用反向調(diào)試功能來找到特定的仿真時間點(diǎn)。另外,用戶還可以使用不同的配置進(jìn)行重新隨機(jī)化,以此來觀察概率分布。

結(jié)語

正如本文所述,新思科技Verdi調(diào)試平臺的功能非常豐富,有助于提高調(diào)試效率。當(dāng)開發(fā)者早上開始準(zhǔn)備調(diào)試時,可借助Verdi提供的功能快速投入到工作中。本文分享的所有功能均有助于避免耗時費(fèi)力的重新編譯和重新仿真工作。充分利用一次仿真,縮短調(diào)試周轉(zhuǎn)時間!

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3972

    瀏覽量

    132965
  • 調(diào)試
    +關(guān)注

    關(guān)注

    7

    文章

    551

    瀏覽量

    33763
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    775

    瀏覽量

    50191
  • Verdi
    +關(guān)注

    關(guān)注

    0

    文章

    22

    瀏覽量

    8741

原文標(biāo)題:仿真一次就夠了!如何避免耗時又費(fèi)力的重新編譯和重新仿真?

文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    請教VCS和verdi怎么聯(lián)合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進(jìn)行自動偵錯,請問我怎么安裝Verdi這個軟件以及如何啟動license,并且怎么寫testbench文件才可以產(chǎn)生FSDB文件。請懂得人給我支支招,我也是剛開始學(xué)習(xí)這個軟件的使用。
    發(fā)表于 01-22 14:53

    Verdi工具怎么安裝?如何破解?

    本文介紹Verdi工具的安裝及破解過程
    發(fā)表于 06-21 07:15

    VCS+Verdi如何安裝?怎么破解?

    VCS+Verdi如何安裝?怎么破解?
    發(fā)表于 06-21 06:11

    Verdi VIA開放平臺 思源科技坐大EDA版圖

    日前,電子設(shè)計(jì)自動化(EDA)供應(yīng)商思源科技(SpringSoft)發(fā)表Verdi協(xié)作應(yīng)用平臺(VIA),憑藉思源科技本身及其學(xué)界與業(yè)界的合作夥伴采用此開放式平臺開發(fā)和分享客制化應(yīng)用程式,增加客戶對
    發(fā)表于 10-04 10:13 ?842次閱讀

    Verdi使用技巧 連續(xù)有效信號量測方法

    Verdi自動化調(diào)試系統(tǒng)是Verdi SoC調(diào)試平臺的核心,它支持對所有設(shè)計(jì)和驗(yàn)證流程進(jìn)行全面的調(diào)試
    的頭像 發(fā)表于 05-15 15:46 ?9670次閱讀
    <b class='flag-5'>Verdi</b>使用技巧 連續(xù)有效信號量測方法

    思科技升級Verification Continuum平臺繼續(xù)引領(lǐng)技術(shù)

    思科技近日發(fā)布新版Verification Continuum?平臺,將各種驗(yàn)證工具進(jìn)行新的原生集成,實(shí)現(xiàn)高達(dá)五倍的驗(yàn)證性能。Verification Continuum平臺基于新思科
    的頭像 發(fā)表于 06-11 08:42 ?4667次閱讀

    思科技采用VCS 與 Verdi 結(jié)合,推出與眾不同的芯片設(shè)計(jì)

    Verdi 交互調(diào)試解決方案非常適合我們的大型設(shè)計(jì),讓我們的仿真團(tuán)隊(duì)能夠縮短回歸周轉(zhuǎn)時間,從而大大提升我們驗(yàn)證工作的效率?!?/div>
    的頭像 發(fā)表于 08-28 15:22 ?3453次閱讀

    vcs和verdi調(diào)試及聯(lián)合仿真案例

    若想用Verdi觀察波形,需要在仿真時生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通過兩個系統(tǒng)調(diào)用$fsdbDumpfile $fsdbDumpvars來實(shí)現(xiàn)的。
    的頭像 發(fā)表于 09-22 15:01 ?8373次閱讀

    AImotive采用新思科技VCS?仿真和Verdi?調(diào)試驗(yàn)證其下一代自動駕駛

    思科技(Synopsys, Inc., 納斯達(dá)克股票代碼:SNPS)近日宣布,AImotive已采用新思科技VCS?仿真和Verdi?調(diào)試(Verification Continuu
    的頭像 發(fā)表于 01-13 15:57 ?1971次閱讀

    分享《verdi用法小結(jié)》的pdf

    分享Verdi用法小結(jié)的pdf文檔
    的頭像 發(fā)表于 02-18 20:21 ?1066次閱讀
    分享《<b class='flag-5'>verdi</b>用法小結(jié)》的pdf

    全網(wǎng)最實(shí)用的Verdi教程1

    Verdi是一個功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進(jìn)行代碼的仿真與檢查。
    的頭像 發(fā)表于 05-05 14:49 ?1.6w次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程1

    全網(wǎng)最實(shí)用的Verdi教程2

    Verdi是一個功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進(jìn)行代碼的仿真與檢查。
    的頭像 發(fā)表于 05-05 14:53 ?7276次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程2

    全網(wǎng)最實(shí)用的Verdi教程3

    Verdi是一個功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進(jìn)行代碼的仿真與檢查。
    的頭像 發(fā)表于 05-05 14:53 ?5185次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程3

    如何減少內(nèi)存模型調(diào)試時間

    Synopsys 內(nèi)存模型 (VIP) 與 Verdi 一起可提高整體調(diào)試效率。以下是緊密耦合的調(diào)試解決方案如何幫助解決一些痛點(diǎn)的示例:
    的頭像 發(fā)表于 05-26 10:30 ?955次閱讀
    如何減少內(nèi)存模型<b class='flag-5'>調(diào)試</b>時間

    Verdi環(huán)境配置、生成波形的方法

    Verdi是一個功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進(jìn)行代碼的仿真與檢
    的頭像 發(fā)表于 05-29 09:48 ?3193次閱讀
    <b class='flag-5'>Verdi</b>環(huán)境配置、生成波形的方法