0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado高效設(shè)計案例分享

Hx ? 作者:工程師陳翠 ? 2018-07-12 15:21 ? 次閱讀

首先,在這個頁面上啰嗦幾句。左側(cè)列出了軟件不同的版本號,大家根據(jù)自己的需要選擇相應(yīng)的版本。中間這一列就是我們需要下載的軟件安裝包了。目前,Vivado支持windows和linux操作系統(tǒng)。大家可以根據(jù)自己的操作系統(tǒng)選擇對應(yīng)的版本進行下載安裝,也可以選擇All OS Vivado and SDK Full Installer(推薦),這個軟件包包含了邏輯開發(fā)和嵌入式開發(fā)所需的全部工具。友情提醒,大家不閑麻煩的話,可以順便把Documention Navigator一塊下載安裝。這個軟件可以幫助我們快速瀏覽Xilinx的所有文檔資料。接下來,就是老生常談的話題了——環(huán)境搭建。整個軟件的安裝過程非常傻瓜式,這里就不濃墨渲染了,有圖有真相:

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

Vivado高效設(shè)計案例分享

蝸牛的速度,整個安裝過程耗時半個小時左右(與你電腦的性能有很大的關(guān)系),整個安裝過程幾乎不需要人為干預(yù),因此這段時間可以泡杯茶享受一下生活。安裝過程中,會跳出窗口讓你選擇本機已經(jīng)安裝的MatLab,這是做DSP開發(fā)用的,大家暫時取消掉(以后使用可以重新配置)。接近尾聲的時候會彈出Xilinx的許可管理器讓你安裝許可證,相信很多童鞋暫時沒有許可文件,所以我們只能無奈的點擊X號。接下來,我們就去Xilinx官網(wǎng)獲取試用版的許可文件(前提是你已經(jīng)注冊過Xilinx的賬號)。注:我這里生成的是HLS評估板License,只是示意,大家根據(jù)自己的需求生成相應(yīng)的License。

在導(dǎo)航欄Support下,點擊Get License File,進入如下界面:

Vivado高效設(shè)計案例分享

選擇Vivado HLS Evaluation License前面的小方框,然后點擊下面的Generate Node-Locked License。

Vivado高效設(shè)計案例分享

*項為必填項目,我們只需填寫第二項系統(tǒng)信息就可以了。點擊Select a host下拉列表,選擇Add a host,然后根據(jù)提示完善相關(guān)內(nèi)容就可以了。大家如果不知道如何獲取相關(guān)信息的話,教大家一簡單方法,運行開始—所有程序—Xilinx Design Tools—Vivado 2013.3—Accessories—Manage Xilinx Licenses,最下面顯示了你電腦的相關(guān)信息。然后一路next,就可以成功產(chǎn)生試用版的許可文件了。License會自動發(fā)到你的郵箱,這時你就可以去自己的郵箱下載到本地了。如果您懶得登錄自己的郵箱,也可以在當(dāng)前頁面左下角點擊下載圖標(biāo)進行下載,如下圖所示:

Vivado高效設(shè)計案例分享

終于看到了黎明的曙光,還差一步就功德圓滿了。運行開始—所有程序—Xilinx Design Tools—Vivado 2013.3—Accessories—Manage Xilinx Licenses,打開許可管理器,選擇Manage Licenses—Load License,然后定位到License所在的位置,最后關(guān)閉。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65854
收藏 人收藏

    評論

    相關(guān)推薦

    Vivado 實現(xiàn)

    先給大家簡單快速地介紹一下 Vivado 集成設(shè)計環(huán)境,即 IDE。當(dāng)打開 Vivado 工程后,會有一個工程概要,向您介紹工程的設(shè)置、警告和錯誤信息以及工程的一般狀態(tài)。
    發(fā)表于 04-25 09:00 ?6608次閱讀

    怎么改變vivado性能

    大家好,據(jù)我了解,Vivado僅在合成過程的地點和路線部分使用多線程。那么,真正改變vivado性能的方法(性能=從頭開始合成項目的速度+在vivado合成時在計算機上執(zhí)行其他工作的能力)主要是核心
    發(fā)表于 04-25 06:33

    使用VIVADO對7系列FPGA的高效設(shè)計心得

    隨著xilinx公司進入20nm工藝,以堆疊的方式在可編程領(lǐng)域一路高歌猛進,與其配套的EDA工具——新一代高端FPGA設(shè)計軟件VIVADO也備受關(guān)注和飽受爭議。
    發(fā)表于 02-11 19:08 ?5102次閱讀

    基于linux系統(tǒng)實現(xiàn)的vivado調(diào)用VCS仿真教程

    在linux系統(tǒng)上實現(xiàn)vivado調(diào)用VCS仿真教程 作用:vivado調(diào)用VCS仿真可以加快工程的仿真和調(diào)試,提高效率。 前期準(zhǔn)備:確認(rèn)安裝vivado軟件和VCS軟件 VCS軟件最
    的頭像 發(fā)表于 07-05 03:30 ?1.1w次閱讀
    基于linux系統(tǒng)實現(xiàn)的<b class='flag-5'>vivado</b>調(diào)用VCS仿真教程

    Vivado 2017.1和Vivado 2016.4性能對比分析

    此篇文章里,我們將通過使用InTime來檢驗Vivado 2017.1和Vivado2016.4之間的性能對比。 概要:分別進行了3個Vivado 2017.1對Vivado2016.
    的頭像 發(fā)表于 07-04 11:23 ?1w次閱讀
    <b class='flag-5'>Vivado</b> 2017.1和<b class='flag-5'>Vivado</b> 2016.4性能對比分析

    vivado設(shè)計套件資料

    vivado設(shè)計套件資料
    發(fā)表于 10-31 09:49 ?44次下載
    <b class='flag-5'>vivado</b>設(shè)計套件資料

    用Xilinx Vivado HLS可以快速、高效地實現(xiàn)QRD矩陣分解

    使用Xilinx Vivado HLS(Vivado 高層次綜合)工具實現(xiàn)浮點復(fù)數(shù)QRD矩陣分解并提升開發(fā)效率。使用VivadoHLS可以快速、高效地基于FPGA實現(xiàn)各種矩陣分解算法,降低開發(fā)者
    發(fā)表于 11-17 17:47 ?3616次閱讀
    用Xilinx <b class='flag-5'>Vivado</b> HLS可以快速、<b class='flag-5'>高效</b>地實現(xiàn)QRD矩陣分解

    Vivado軟件仿真DDS核的過程中應(yīng)該注意的問題

    本人需要利用Vivado軟件中的DDS核生成一個正弦信號。由于后期還要生成線性調(diào)頻信號,如果直接編寫代碼生成比特流文件下載到板子上進行驗證會使工作的效率大大下降,所有想利用Vivado軟件功能仿真,這樣可以極大的提高效率。
    發(fā)表于 07-13 08:32 ?9666次閱讀

    xilinx Vivado工具使用技巧

    Vivado Design Suite中,Vivado綜合能夠合成多種類型的屬性。在大多數(shù)情況下,這些屬性具有相同的語法和相同的行為。
    發(fā)表于 05-02 10:13 ?3951次閱讀

    使用vivado的過程如何清理/壓縮不必要的文件

    作者:材哥,玩兒轉(zhuǎn)FPGA 前言 vivado和ISE的使用差別很大,Vivado是專門針對7系列和以后系列的FPGA/AP SOC進行高效設(shè)計的工具,特別是最近提出的UltraFast設(shè)計方法
    的頭像 發(fā)表于 12-25 14:53 ?8779次閱讀
    使用<b class='flag-5'>vivado</b>的過程如何清理/壓縮不必要的文件

    使用Vivado License Manager時Vivado的錯誤信息

    Vivado License Manager在使用Vivado License Manager時,如果通過如下圖所示方式指定license的路徑時,要保證路徑僅包含ASCII字符而沒有中文字
    的頭像 發(fā)表于 09-12 15:15 ?5648次閱讀

    【FPGA Vivado】基于 FPGA Vivado 的流水燈樣例設(shè)計

    【流水燈樣例】基于 FPGA Vivado 的數(shù)字鐘設(shè)計前言模擬前言Vivado 設(shè)計流程指導(dǎo)手冊——2013.4密碼:5txi模擬
    發(fā)表于 12-04 13:21 ?26次下載
    【FPGA <b class='flag-5'>Vivado</b>】基于 FPGA <b class='flag-5'>Vivado</b> 的流水燈樣例設(shè)計

    如何升級Vivado工程腳本

    Vivado可以導(dǎo)出腳本,保存創(chuàng)建工程的相關(guān)命令和配置,并可以在需要的時候使用腳本重建Vivado工程。腳本通常只有KB級別大小,遠(yuǎn)遠(yuǎn)小于工程打包文件的大小,因此便于備份和版本管理。下面把前述腳本升級到Vivado 2020.2
    發(fā)表于 08-02 10:10 ?1713次閱讀

    vivado仿真流程

    vivado開發(fā)軟件自帶了仿真工具,下面將介紹vivado的仿真流程,方便初學(xué)者進行仿真實驗。
    的頭像 發(fā)表于 07-18 09:06 ?3836次閱讀
    <b class='flag-5'>vivado</b>仿真流程

    Vivado設(shè)計套件用戶:使用Vivado IDE的指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計套件用戶:使用Vivado IDE的指南.pdf》資料免費下載
    發(fā)表于 09-13 15:25 ?7次下載
    <b class='flag-5'>Vivado</b>設(shè)計套件用戶:使用<b class='flag-5'>Vivado</b> IDE的指南