0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

EDA技術(shù)特點(diǎn)以及進(jìn)行電子電路設(shè)計(jì)的一般步驟

貿(mào)澤電子設(shè)計(jì)圈 ? 來(lái)源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2017-08-31 10:32 ? 次閱讀

電子電路的設(shè)計(jì)是一項(xiàng)非常復(fù)雜的系統(tǒng)工程,在設(shè)計(jì)過(guò)程中,由設(shè)計(jì)者通過(guò)對(duì)具體數(shù)據(jù)進(jìn)行相應(yīng)的分析,然后提出初步設(shè)計(jì)方案,再進(jìn)行相應(yīng)的修改與調(diào)試,不斷地對(duì)電路的設(shè)計(jì)進(jìn)行補(bǔ)充,完善電路設(shè)計(jì)方案。這個(gè)過(guò)程是十分復(fù)雜而費(fèi)時(shí)的。隨著電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù)的出現(xiàn),極大的節(jié)約了電子電路課程設(shè)計(jì)的時(shí)間,使得電子電路的設(shè)計(jì)更加簡(jiǎn)準(zhǔn)確、科學(xué)。

1 EDA技術(shù)的特點(diǎn)

電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù)是將計(jì)算機(jī)作為工作的平臺(tái),通過(guò)融合電子技術(shù)、智能化技術(shù)以及計(jì)算機(jī)技術(shù)的最新成果而設(shè)計(jì)出來(lái)的一項(xiàng)現(xiàn)代電子技術(shù)。隨著現(xiàn)代化教育的不斷深入,EDA技術(shù)的應(yīng)用也越來(lái)越廣泛。

目前,EDA技術(shù)已經(jīng)在電子電路設(shè)計(jì)、印刷電路板設(shè)計(jì)、可編程器件的編程以及集成電路板的設(shè)計(jì)中被廣泛的應(yīng)用。通過(guò)運(yùn)用EDA技術(shù),相應(yīng)的設(shè)計(jì)人員能夠?qū)﹄娐吩O(shè)計(jì)、邏輯分析、時(shí)序測(cè)試、性能設(shè)計(jì)等各個(gè)方面進(jìn)行自動(dòng)設(shè)計(jì)。

對(duì)于EDA軟件技術(shù)的開(kāi)發(fā)而言,Multisiim8.0軟件支撐平臺(tái)是發(fā)揮EDA技術(shù)功能的有力支撐平臺(tái),能夠保證其電路的建立、實(shí)驗(yàn)數(shù)據(jù)的分析以及結(jié)構(gòu)的輸出等方面的處理與分析過(guò)程能夠在一個(gè)集成系統(tǒng)中完成。在利用EDA技術(shù)進(jìn)行設(shè)計(jì)的過(guò)程中,設(shè)計(jì)人員只需要通過(guò)鼠標(biāo)進(jìn)行簡(jiǎn)單的操作就能夠完成電路的創(chuàng)建、更改電路參數(shù)、更換電路元器件等方面的工作。同時(shí),在使用EDA技術(shù)進(jìn)行電路設(shè)計(jì)的過(guò)程中,該軟能夠白行對(duì)相應(yīng)的設(shè)計(jì)方案進(jìn)行淵試,不斷地對(duì)設(shè)計(jì)電路的性能進(jìn)行分析,對(duì)電路設(shè)計(jì)中的漏洞、問(wèn)題進(jìn)行修補(bǔ)充、修改,從而使得設(shè)計(jì)的電路性能最優(yōu)化。

同時(shí),對(duì)于運(yùn)用EDA技術(shù)在Multisiim8.0軟件支撐平臺(tái)中進(jìn)行電路設(shè)計(jì)及其優(yōu)化而言,該軟件在輸入輸出指令以及各種控制語(yǔ)言方面沒(méi)有硬性的要求,也不需要對(duì)電路的各個(gè)環(huán)節(jié)進(jìn)行相應(yīng)的程序編制,只需要在電路設(shè)計(jì)的平臺(tái)內(nèi)將虛擬的電子元器件用節(jié)點(diǎn)和線進(jìn)行連接,就能夠從虛擬的儀器表上得到相應(yīng)的仿真波形以及各種參數(shù)的分析結(jié)果。

Multisiim8.0軟件平臺(tái)中設(shè)有大量的與實(shí)際元器件相對(duì)應(yīng)的虛擬模型,這也就使得在進(jìn)行相應(yīng)的電子電路設(shè)計(jì)中,電路設(shè)計(jì)的的形式更加趨近于現(xiàn)實(shí)情況,使得其仿真效果更加精確、科學(xué)。

2 利用EDA技術(shù)進(jìn)行電子電路設(shè)計(jì)的一般步驟

2.1 對(duì)相應(yīng)的設(shè)計(jì)課題進(jìn)行理解

在進(jìn)行電子電路設(shè)計(jì)之前,要對(duì)需要設(shè)計(jì)的電路進(jìn)行全方面的分析,對(duì)設(shè)計(jì)電路的功能要求、設(shè)計(jì)標(biāo)準(zhǔn)、設(shè)計(jì)元件以及技術(shù)指標(biāo)能夠熟練的掌握,對(duì)于處理信號(hào)與被控制轉(zhuǎn)換對(duì)象的特點(diǎn)和參數(shù)進(jìn)行系統(tǒng)的分析與統(tǒng)計(jì)。其設(shè)計(jì)的基本流程如下圖所示:

在電路設(shè)計(jì)中如何合理應(yīng)用EDA軟件?

圖1 基本流程

2.2 制定相應(yīng)的設(shè)計(jì)方案

通過(guò)對(duì)系統(tǒng)的總體功能進(jìn)行分析,繪制電路設(shè)計(jì)的原理框圖,然后將總的設(shè)計(jì)方案劃分為多個(gè)環(huán)節(jié)。通過(guò)對(duì)不同環(huán)節(jié)間的聯(lián)系進(jìn)行分析,確定各個(gè)環(huán)節(jié)間的信號(hào)交流方式以及電路運(yùn)行的時(shí)序。電路設(shè)計(jì)的總框圖要能夠簡(jiǎn)單、清晰的表達(dá)出整個(gè)電路設(shè)計(jì)的過(guò)程與原理。

2.3 對(duì)單元電路進(jìn)行仿真實(shí)驗(yàn)

在確定了總的設(shè)計(jì)方案后,要對(duì)元器件進(jìn)行選擇,通過(guò)電子仿真軟件將每個(gè)環(huán)節(jié)的電路圖進(jìn)行繪制,然后利用電子仿真軟件中的仿真功能對(duì)其進(jìn)行仿真檢驗(yàn),以判斷該環(huán)節(jié)的設(shè)計(jì)方案是否可行。如果在電路的設(shè)計(jì)中運(yùn)用了COMS、分立元件、TTL、運(yùn)放集成電路等多種元器件,采用了不同的電源供電,那么,在設(shè)計(jì)的過(guò)程中就應(yīng)該對(duì)電路間的電平轉(zhuǎn)換過(guò)程進(jìn)行設(shè)計(jì),并對(duì)其轉(zhuǎn)換的方式與流程進(jìn)行相應(yīng)的框圖繪制,從而確保其電平的轉(zhuǎn)換方式正確。同時(shí),在進(jìn)行仿真電路設(shè)計(jì)時(shí),可以根據(jù)所要設(shè)計(jì)的電路類型選擇合適的仿真軟件。當(dāng)下,電力行業(yè)中已經(jīng)開(kāi)發(fā)出許多種側(cè)重點(diǎn)不同的仿真軟件,包括SPICE、ProteusMultisim等軟件。一般來(lái)說(shuō),對(duì)于在電路設(shè)計(jì)中基礎(chǔ)電路的仿真過(guò)程來(lái)說(shuō),其最好的選擇是采用Multisim軟件進(jìn)行仿真實(shí)驗(yàn);對(duì)于相對(duì)復(fù)雜的控制電路來(lái)說(shuō),其主要采用的是Proteus軟件;在通信工程的電路設(shè)計(jì)中,在進(jìn)行仿真實(shí)驗(yàn)設(shè)計(jì)時(shí),一般采用的是MATLAB軟件。通過(guò)對(duì)各種仿真電路軟件的使用,能夠?qū)Ω鱾€(gè)環(huán)節(jié)的設(shè)計(jì)方案進(jìn)行相應(yīng)的性能檢驗(yàn),對(duì)其運(yùn)行的原理及流程進(jìn)行模擬,還能對(duì)電路設(shè)計(jì)方案中的參數(shù)自動(dòng)分析,對(duì)于設(shè)計(jì)方案中的不足之處進(jìn)行改進(jìn),從而使得設(shè)計(jì)方案得到優(yōu)化。通過(guò)對(duì)EDA技術(shù)的運(yùn)用,不僅能夠使得設(shè)計(jì)的過(guò)程變得簡(jiǎn)單便捷,節(jié)約大量的時(shí)間,而且能夠開(kāi)發(fā)相應(yīng)學(xué)習(xí)者的創(chuàng)新思維,提高其動(dòng)手能力。

2.4 對(duì)各環(huán)節(jié)之間的設(shè)計(jì)方案進(jìn)行分析

在運(yùn)用EDA技術(shù)對(duì)單元電路進(jìn)行仿真實(shí)驗(yàn)之后,要對(duì)整個(gè)電路的可行性進(jìn)行分析。因?yàn)樵谶M(jìn)行各個(gè)單元的仿真實(shí)驗(yàn)中,都是對(duì)其一部分的性能進(jìn)行檢驗(yàn),這也就使得即使各個(gè)環(huán)節(jié)都不存在問(wèn)題,但在組合成一個(gè)整體時(shí)就可能存在各環(huán)節(jié)之間搭配不合理的狀況,從而使得整個(gè)系統(tǒng)的性能達(dá)不到預(yù)期的目標(biāo)。因此,在對(duì)各環(huán)節(jié)進(jìn)行仿真實(shí)驗(yàn)之后,要對(duì)各個(gè)環(huán)節(jié)的電路進(jìn)行全面的分析,對(duì)于信號(hào)的輸入輸出關(guān)系、各環(huán)節(jié)接口的極性以及各環(huán)節(jié)的時(shí)序等方面進(jìn)行深入的分析,從而得出電路設(shè)計(jì)中存在的沖突與矛盾,進(jìn)而對(duì)其進(jìn)行修改,制定出最佳的設(shè)計(jì)方案。

2.5 組合各設(shè)計(jì)環(huán)節(jié)

在對(duì)各個(gè)環(huán)節(jié)電路以及各環(huán)節(jié)電路之間的設(shè)計(jì)方案進(jìn)行檢驗(yàn)之后,要對(duì)整個(gè)設(shè)計(jì)方案進(jìn)行仿真實(shí)驗(yàn),從而驗(yàn)證整個(gè)設(shè)計(jì)的可行性。在按照設(shè)計(jì)要求設(shè)計(jì)出相應(yīng)的電路元件后,要對(duì)其進(jìn)行反復(fù)的實(shí)驗(yàn)與聯(lián)系,從而使得設(shè)計(jì)人員能夠熟練掌握該電路的設(shè)計(jì)方式。同時(shí),由于在進(jìn)行電子仿真實(shí)驗(yàn)過(guò)程中,其元件都是采用的理想元件以及理想的連接工藝,而在實(shí)際的電路中,影響其性能穩(wěn)定性的因素很多。因此,在對(duì)電路的實(shí)體安裝過(guò)程中,要對(duì)其性能進(jìn)行多次調(diào)試,以使其達(dá)到性能最優(yōu)點(diǎn)。

3 實(shí)例分析

3.1 組合邏輯電路的設(shè)計(jì)

通過(guò)對(duì)電子電路設(shè)計(jì)的一般步驟可以推出組合邏輯電路的設(shè)計(jì)步驟為:分析問(wèn)題,列表,求表達(dá)式,畫出電路圖。下面我們以判斷兩個(gè)輸入信號(hào)的電路是否同路的邏輯仿真設(shè)計(jì)為例展開(kāi)討論。

3.1.1 設(shè)定規(guī)則。將兩個(gè)輸入信號(hào)分別設(shè)定為A、B,其輸出信號(hào)設(shè)為X,當(dāng)A、B兩個(gè)信號(hào)的輸入電路相同時(shí)輸出X=0,當(dāng)A、B兩個(gè)型號(hào)輸入電路不同時(shí)其輸出為X=1。

3.1.2 啟動(dòng)電子工作平臺(tái)(EWB),進(jìn)入其主界面,將該平臺(tái)的儀器數(shù)據(jù)庫(kù)打開(kāi),搜索其中的邏輯轉(zhuǎn)換儀,雙擊其圖標(biāo),以打開(kāi)邏輯轉(zhuǎn)換儀的操作面板,在面板上的真值表區(qū)分別點(diǎn)擊A、B兩個(gè)邏輯變量,從而在面板的輸出區(qū)域建立一個(gè)二變量真值表,并根據(jù)相應(yīng)的要求在輸出變量列中輸入相應(yīng)的邏輯數(shù)值。

3.1.3 在邏輯轉(zhuǎn)換儀中輸入相應(yīng)的輸入量后,在其面板上點(diǎn)擊“真值表→簡(jiǎn)化邏輯表達(dá)式”選項(xiàng),使得經(jīng)簡(jiǎn)化的邏輯表達(dá)式在該面板底部的邏輯表達(dá)欄中顯示出來(lái)。

3.1.4 簡(jiǎn)化的邏輯表達(dá)式在邏輯轉(zhuǎn)換儀面板底部顯示出來(lái)之后,再選擇該面板上“表達(dá)式→與非邏輯電路”選項(xiàng),之后在相應(yīng)的顯示區(qū)域顯示出由五個(gè)與非門組成的電路。

3.1.5 在該電路設(shè)計(jì)出來(lái)之后,要對(duì)其邏輯功能進(jìn)行測(cè)試,通過(guò)在兩個(gè)輸入端接入兩個(gè)開(kāi)關(guān),其中一個(gè)選擇“+5V”,另一個(gè)選擇接地,其輸出端與指示燈相連接,然后接通開(kāi)關(guān),根據(jù)指示燈的狀態(tài),對(duì)真值表里的狀態(tài)進(jìn)行驗(yàn)證。

3.2 時(shí)序電路設(shè)計(jì)

設(shè)計(jì)分頻器,其主要由JK觸發(fā)器組成。

3.2.1 首先,對(duì)JK觸發(fā)器的邏輯功能進(jìn)行測(cè)試。從相應(yīng)的數(shù)字器件庫(kù)中選用一個(gè)JK觸發(fā)器,要求該觸發(fā)器本身屬性為低電平觸發(fā)、置位與復(fù)位,按照下圖進(jìn)行連接,閉合該電路中仿真開(kāi)關(guān),然后打開(kāi)邏輯分析儀面板,通過(guò)讀取上面的圖形以及參數(shù),對(duì)其進(jìn)行分析,就能夠完成對(duì)JK觸發(fā)器邏輯功能的測(cè)試。

在電路設(shè)計(jì)中如何合理應(yīng)用EDA軟件?

圖2 時(shí)序電路設(shè)計(jì)


3.2.2 通過(guò)利用JK觸發(fā)器的特性將觸發(fā)器按照要求進(jìn)行組裝,使其具有相應(yīng)的分頻功能。一般來(lái)說(shuō),一個(gè)JK觸發(fā)器可以制成二分頻器,對(duì)兩個(gè)分頻器進(jìn)行相應(yīng)的組合能夠組裝成四分頻器。根據(jù)設(shè)計(jì)的要求進(jìn)行分析,得出在該電路設(shè)計(jì)中需要運(yùn)用八分頻器,那么就需要將三個(gè)觸發(fā)器按照二進(jìn)制導(dǎo)步計(jì)數(shù)器級(jí)連接的方式進(jìn)行連接,從而得到八分頻器。將分頻器組裝好之后,要把時(shí)鐘的脈沖以及JK觸發(fā)器的Q端連人電路中,與邏輯分析儀相連接,就能夠獲得相應(yīng)的波形圖,通過(guò)波形圖就可以得到其輸出端電平高低位與時(shí)間脈沖的關(guān)系。

4 認(rèn)識(shí)EDA技術(shù)的作用及其前景分析

當(dāng)前,EDA技術(shù)的應(yīng)用越來(lái)越普遍,在電子電路設(shè)計(jì)領(lǐng)域所扮演的角色也越來(lái)越重要,這也就要求我們要正確認(rèn)識(shí)EDA技術(shù)的作用。在筆者看來(lái),對(duì)于EDA技術(shù)正確應(yīng)用的教育與引導(dǎo)主要來(lái)源于教育者,這也就要求相關(guān)的教育者必須正視EDA技術(shù),合理充分的利用教學(xué)資源,積極采用新方法、新模式的教育教學(xué)方式,探索加快現(xiàn)代化教育進(jìn)行的路徑。同時(shí),在相應(yīng)的教育教學(xué)過(guò)程中,應(yīng)該將EDA技術(shù)引入到正規(guī)的教學(xué)課程中去,與相應(yīng)的實(shí)驗(yàn)相結(jié)合,從而有效地加快學(xué)生對(duì)于這種技術(shù)的認(rèn)識(shí)。但是,在教育教學(xué)的過(guò)程中,一定要木著實(shí)事求是的原則,不能將其功能進(jìn)行片面的夸大化,也不能僅僅依靠該種技術(shù)而取締傳統(tǒng)的手工實(shí)驗(yàn),導(dǎo)致學(xué)生實(shí)際動(dòng)手能力與思考能力的發(fā)展受到限制。

在筆者看來(lái),EDA技術(shù)現(xiàn)在正是處于快速發(fā)展的階段,而隨著各種大規(guī)模的FPGA器件的不斷開(kāi)發(fā),EDA技術(shù)在其仿真與設(shè)計(jì)這兩的方面的硬件標(biāo)準(zhǔn)得到了大幅度的發(fā)展。在未來(lái)的幾年內(nèi),EDA技術(shù)會(huì)不斷地向著大規(guī)模集成電路的方向發(fā)展,而且其軟硬件IP核在相關(guān)的應(yīng)用領(lǐng)域中將會(huì)得到進(jìn)一步的確認(rèn),其SOC高效低本設(shè)計(jì)也會(huì)不斷地成熟。

5 結(jié)語(yǔ)

通過(guò)將EDA技術(shù)運(yùn)用到電子電路的設(shè)計(jì)與仿真過(guò)程中,使得其學(xué)習(xí)方式向著新穎化與趣味化轉(zhuǎn)變,能夠充分的調(diào)動(dòng)起學(xué)生學(xué)習(xí)的積極性,發(fā)揮學(xué)生的主觀能動(dòng)性,從而有效地提高學(xué)生在電路的設(shè)計(jì)與分析方面的能力。同時(shí),通過(guò)傳統(tǒng)的教學(xué)方式與仿真技術(shù)相結(jié)合,將虛擬技術(shù)應(yīng)用到實(shí)際的設(shè)計(jì)過(guò)程中,提高了對(duì)相應(yīng)的教育者的要求,加快了課改的進(jìn)度,是推動(dòng)社會(huì)主義現(xiàn)代化進(jìn)程的一個(gè)有力手段。

文章出處:電子產(chǎn)品世界

聲明:本文為轉(zhuǎn)載文章,轉(zhuǎn)載此文目的在于傳遞更多信息,版權(quán)歸原作者所有,如涉及侵權(quán),請(qǐng)聯(lián)系小編進(jìn)行處理。

關(guān)于貿(mào)澤電子設(shè)計(jì)圈

貿(mào)澤電子設(shè)計(jì)圈由貿(mào)澤電子(Mouser Electronics)開(kāi)發(fā)和運(yùn)營(yíng),服務(wù)全球廣大電子設(shè)計(jì)群體,貿(mào)澤電子分銷600多家領(lǐng)先品牌,可訂購(gòu)400多萬(wàn)種在線產(chǎn)品,可為設(shè)計(jì)工程師和采購(gòu)人員提供一站式采購(gòu)平臺(tái),歡迎關(guān)注我們,獲取第一手的設(shè)計(jì)與產(chǎn)業(yè)資訊信息

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 邏輯電路
    +關(guān)注

    關(guān)注

    13

    文章

    490

    瀏覽量

    42455
  • EDA技術(shù)
    +關(guān)注

    關(guān)注

    12

    文章

    172

    瀏覽量

    36802

原文標(biāo)題:在電路設(shè)計(jì)中如何合理應(yīng)用EDA軟件?就看這篇!

文章出處:【微信號(hào):Mouser-Community,微信公眾號(hào):貿(mào)澤電子設(shè)計(jì)圈】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    EDA技術(shù)進(jìn)行數(shù)字電路設(shè)計(jì)

    本文介紹了EDA技術(shù)主要特點(diǎn)和功能,并對(duì)將EDA技術(shù)引入到數(shù)字電路設(shè)計(jì)工作方案
    發(fā)表于 01-24 14:38 ?3654次閱讀
    <b class='flag-5'>EDA</b><b class='flag-5'>技術(shù)</b><b class='flag-5'>進(jìn)行數(shù)字電路設(shè)計(jì)</b>

    電子電路PSPICE程序輔助分析

    以上硬盤)。2、操作系統(tǒng)Windows95以上。三、預(yù)習(xí)要求1、熟悉PSPICE中的電路描述、PSPICE的集成環(huán)境、PSPICE中的有關(guān)規(guī)定和PSPICE仿真的一般步驟。2、了解電子
    發(fā)表于 08-20 18:45

    實(shí)驗(yàn):電子電路PSPICE程序輔助分析

    仿真的一般步驟。2、了解電子EDA技術(shù)的基本概念。四、實(shí)驗(yàn)內(nèi)容()畫
    發(fā)表于 10-09 17:52

    全國(guó)大學(xué)生電子競(jìng)賽培訓(xùn)資料 -電子電路設(shè)計(jì)一般方法與...

    本帖最后由 gk320830 于 2015-3-5 20:54 編輯 全國(guó)大學(xué)生電子競(jìng)賽培訓(xùn)資料 -電子電路設(shè)計(jì)一般方法與步驟
    發(fā)表于 08-10 23:00

    電子電路設(shè)計(jì)調(diào)試的步驟

    `誰(shuí)來(lái)闡述電子電路設(shè)計(jì)調(diào)試的步驟?`
    發(fā)表于 02-25 16:01

    EDA技術(shù)是什么?EDA常用軟件有哪些

    EDA技術(shù)是什么?EDA常用軟件有哪些?電子電路設(shè)計(jì)與仿真工具包括哪些呢?
    發(fā)表于 01-24 06:34

    電子電路設(shè)計(jì)與實(shí)踐 (pdf下載)

    電子電路設(shè)計(jì)與實(shí)踐從實(shí)踐入手,介紹了電子電路系統(tǒng)設(shè)計(jì)、安裝、調(diào)試的一般方法步驟及注意事項(xiàng);介紹了常用電子元器件、集成
    發(fā)表于 11-02 21:56 ?0次下載
    <b class='flag-5'>電子電路設(shè)計(jì)</b>與實(shí)踐 (pdf下載)

    電子電路設(shè)計(jì)的原則、方法和步驟

    摘要:實(shí)際的電子電路往往是很復(fù)雜的,是由多種基本電路組合而成,設(shè)計(jì)時(shí)要根據(jù)具體情況,遵循些規(guī)律去合理地設(shè)計(jì)電路的形式。文章對(duì)電子電路設(shè)計(jì)過(guò)
    發(fā)表于 05-14 09:22 ?194次下載

    實(shí)用電子電路設(shè)計(jì)制作詳解

    實(shí)用電子電路設(shè)計(jì)制作詳解,電子基礎(chǔ)實(shí)用電子電路設(shè)計(jì)制作詳解
    發(fā)表于 11-17 11:44 ?0次下載

    電路設(shè)計(jì)--電阻電路一般分析

    電路設(shè)計(jì)--電阻電路一般分析
    發(fā)表于 02-28 22:45 ?0次下載

    EDA技術(shù)電子電路設(shè)計(jì)中有什么應(yīng)用?

    進(jìn)行電子電路設(shè)計(jì)之前,要對(duì)需要設(shè)計(jì)的電路進(jìn)行全方面的分析,對(duì)設(shè)計(jì)電路的功能要求、設(shè)計(jì)標(biāo)準(zhǔn)、設(shè)計(jì)元件以及
    發(fā)表于 07-19 12:14 ?5149次閱讀
    <b class='flag-5'>EDA</b><b class='flag-5'>技術(shù)</b>在<b class='flag-5'>電子電路設(shè)計(jì)</b>中有什么應(yīng)用?

    文弄懂電路設(shè)計(jì)中合理應(yīng)用EDA軟件

    電子電路的設(shè)計(jì)是項(xiàng)非常復(fù)雜的系統(tǒng)工程,在設(shè)計(jì)過(guò)程中,由設(shè)計(jì)者通過(guò)對(duì)具體數(shù)據(jù)進(jìn)行相應(yīng)的分析,然后提出初步設(shè)計(jì)方案,再進(jìn)行相應(yīng)的修改與調(diào)試,不斷地對(duì)
    發(fā)表于 11-13 11:34 ?1051次閱讀
    <b class='flag-5'>一</b>文弄懂<b class='flag-5'>電路設(shè)計(jì)</b>中合理應(yīng)用<b class='flag-5'>EDA</b>軟件

    電子電路設(shè)計(jì)方案的步驟和注意事項(xiàng)

    完成電子電路設(shè)計(jì)方案的過(guò)程大致分幾個(gè)步驟?需要注意什么?
    的頭像 發(fā)表于 04-12 17:45 ?1.5w次閱讀

    電子電路設(shè)計(jì)原則_電子電路設(shè)計(jì)方法_電子電路設(shè)計(jì)步驟

    進(jìn)行電子電路設(shè)計(jì)時(shí),最需要重視的原則就是整體性原則,因?yàn)樵谠O(shè)計(jì)電子電路時(shí),必須要從整體的角度出發(fā),從整體到局部的進(jìn)行電子電路的設(shè)計(jì),也就是
    的頭像 發(fā)表于 09-26 15:26 ?2w次閱讀

    EDA設(shè)計(jì)一般采用自頂向下的模塊化設(shè)計(jì)方法

    三方面的電子設(shè)計(jì)工作,即集成電路設(shè)計(jì)電子電路設(shè)計(jì)以及PCB設(shè)計(jì)??傊?,EDA技術(shù)的基本特征是采
    發(fā)表于 01-21 16:50 ?8848次閱讀
    <b class='flag-5'>EDA</b>設(shè)計(jì)<b class='flag-5'>一般</b>采用自頂向下的模塊化設(shè)計(jì)方法