0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

全新開源工具,助力FPGA上輕松實現(xiàn)二值化神經(jīng)網(wǎng)絡(luò)

YCqV_FPGA_EETre ? 來源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2017-10-17 09:49 ? 次閱讀

神經(jīng)網(wǎng)絡(luò)技術(shù)起源于上世紀五、六十年代,當(dāng)時叫感知機,擁有輸入層、輸出層和一個隱含層。輸入的特征向量通過隱含層變換達到輸出層,在輸出層得到分類結(jié)果,早期感知機的推動者是Ronsenblatt。后來又發(fā)展到多層感知機,而多層感知機在擺脫早期離散傳輸函數(shù)的束縛,在訓(xùn)練算法上使用Werbos發(fā)明的反向傳播BP算法,這個就是現(xiàn)在大家常數(shù)的神經(jīng)網(wǎng)絡(luò)NN,而目前存在的神經(jīng)網(wǎng)絡(luò)最常見的有:ANN,RNN,以及CNN。CNN是一種多層神經(jīng)網(wǎng)絡(luò),擅長處理圖像特別是大圖像的相關(guān)機器學(xué)習(xí)問題,它可以通過一系列方法,成功將數(shù)據(jù)量龐大的圖像識別問題不斷將維,最終使其能夠被訓(xùn)練。

GUNNESS開源工具

一個叫做GUNNESS的全新的開源工具,可以幫助用戶通過SDSoC 開發(fā)環(huán)境很輕松的將二值化神經(jīng)網(wǎng)絡(luò)(BNNs)實現(xiàn)在Zynq SoC芯片和Zynq UltraScale+ MPSoC芯片上。GUINNESS基于GUI工具而開發(fā),內(nèi)部實現(xiàn)利用深度學(xué)習(xí)框架來訓(xùn)練一個二值的CNN。關(guān)于這部分內(nèi)容在今年IEEE的國際并行和分布式處理的workshop上有一篇論文對此進行了較為全面的介紹(論文名為“on-chip Memory Based binarized Convolutional Deep Neural Network Applying Batch Normalization Free Technique on an FPGA”),論文中,作者Haruyoshi Yonekawa和Hiroki Nakahara描述了一個他們實現(xiàn)的系統(tǒng):他們通過在Xilinx ZCU102 Eval 套件上實現(xiàn)一個用于運行VGG-16 benchmark的二值化CNN邏輯系統(tǒng),其中ZCU102套件其實是基于Zynq UltraScale+ MPSoC芯片而搭建的。在后來比利時 Ghent的FPL2017中作者Nakahara就GUINNESS工具再次進行了介紹。

根據(jù)IEEE中發(fā)表的這篇paper所述,在Zynq上實現(xiàn)的CNN相比較與在ARM Cortex-A57處理器上運行CNN,運行速度加快了136.8倍,并且功率有效性也提高了44.7倍之多。與在Nvidia Maxwell GPU上運行同樣的CNN相比較,基于Zynq實現(xiàn)的BNN速度加快了4.9倍之多,功耗效率也增長了3.8倍。

不過,對于我們這些游離愛好者來說最值得慶幸的是整個GUINNESS工具可以在Github上access到(https://github.com/HirokiNakahara/GUINNESS)。

圖:Xilinx ZCU102 Zynq UltraScale+ MPSoC Eval Kit

目前的比較火的概念莫過于機器學(xué)習(xí),深度學(xué)習(xí),人工智能這三方面了,而這些技術(shù)的實現(xiàn)都離不開神經(jīng)網(wǎng)絡(luò)的訓(xùn)練,可以說當(dāng)前技術(shù)的熱點非神經(jīng)網(wǎng)絡(luò)莫屬。但是神經(jīng)網(wǎng)絡(luò)算法往往較為復(fù)雜,軟件實現(xiàn)速度往往無法達到需求,專用芯片設(shè)計又功能單一且成本高,而通過FPGA實現(xiàn)的話,不僅避免的單用途高成本的投入,同時得到了用戶期望的運算速度,一舉兩得。也相信在以后FPGA將會為神經(jīng)網(wǎng)絡(luò)的研究實現(xiàn)方面有更大的發(fā)揮空間。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598917
  • 神經(jīng)網(wǎng)絡(luò)

    關(guān)注

    42

    文章

    4717

    瀏覽量

    100009
  • 人工智能
    +關(guān)注

    關(guān)注

    1787

    文章

    46061

    瀏覽量

    235006

原文標題:開源工具助你在FPGA上輕松實現(xiàn)二值化神經(jīng)網(wǎng)絡(luò)

文章出處:【微信號:FPGA-EETrend,微信公眾號:FPGA開發(fā)圈】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    MATLAB神經(jīng)網(wǎng)絡(luò)工具箱函數(shù)

    MATLAB神經(jīng)網(wǎng)絡(luò)工具箱函數(shù)說明:本文檔中所列出的函數(shù)適用于MATLAB5.3以上版本,為了簡明起見,只列出了函數(shù)名,若需要進一步的說明,請參閱MATLAB的幫助文檔。1. 網(wǎng)絡(luò)創(chuàng)建函數(shù)newp
    發(fā)表于 09-22 16:10

    脈沖耦合神經(jīng)網(wǎng)絡(luò)FPGA實現(xiàn)誰會?

    脈沖耦合神經(jīng)網(wǎng)絡(luò)(PCNN)在FPGA實現(xiàn),實現(xiàn)數(shù)據(jù)分類功能,有報酬。QQ470345140.
    發(fā)表于 08-25 09:57

    labview BP神經(jīng)網(wǎng)絡(luò)實現(xiàn)

    請問:我在用labview做BP神經(jīng)網(wǎng)絡(luò)實現(xiàn)故障診斷,在NI官網(wǎng)找到了機器學(xué)習(xí)工具包(MLT),但是里面沒有關(guān)于這部分VI的幫助文檔,對于”BP神經(jīng)網(wǎng)絡(luò)分類“這個范例有很多不懂的地方,
    發(fā)表于 02-22 16:08

    【PYNQ-Z2申請】基于PYNQ的卷積神經(jīng)網(wǎng)絡(luò)加速

    ,得到訓(xùn)練參數(shù)2、利用開發(fā)板arm與FPGA聯(lián)合的特性,在arm端實現(xiàn)圖像預(yù)處理已經(jīng)卷積核神經(jīng)網(wǎng)絡(luò)的池、激活函數(shù)和全連接,在FPGA
    發(fā)表于 12-19 11:37

    【PYNQ-Z2試用體驗】神經(jīng)網(wǎng)絡(luò)基礎(chǔ)知識

    能在外界信息的基礎(chǔ)改變內(nèi)部結(jié)構(gòu),是一種自適應(yīng)系統(tǒng),通俗的講就是具備學(xué)習(xí)功能?,F(xiàn)代神經(jīng)網(wǎng)絡(luò)是一種非線性統(tǒng)計性數(shù)據(jù)建模工具。簡單來說,就是給定輸入,神經(jīng)網(wǎng)絡(luò)經(jīng)過一系列計算之后,輸出最終結(jié)
    發(fā)表于 03-03 22:10

    基于賽靈思FPGA的卷積神經(jīng)網(wǎng)絡(luò)實現(xiàn)設(shè)計

    FPGA 實現(xiàn)卷積神經(jīng)網(wǎng)絡(luò) (CNN)。CNN 是一類深度神經(jīng)網(wǎng)絡(luò),在處理大規(guī)模圖像識別任務(wù)以及與機器學(xué)習(xí)類似的其他問題方面已大獲成功。
    發(fā)表于 06-19 07:24

    如何設(shè)計BP神經(jīng)網(wǎng)絡(luò)圖像壓縮算法?

    (Digital Signal Processor)相比,現(xiàn)場可編程門陣列(Field Programma-ble Gate Array,FPGA)在神經(jīng)網(wǎng)絡(luò)實現(xiàn)更具優(yōu)勢。DSP處
    發(fā)表于 08-08 06:11

    如何移植一個CNN神經(jīng)網(wǎng)絡(luò)FPGA中?

    訓(xùn)練一個神經(jīng)網(wǎng)絡(luò)并移植到Lattice FPGA,通常需要開發(fā)人員既要懂軟件又要懂?dāng)?shù)字電路設(shè)計,是個不容易的事。好在FPGA廠商為我們提供了許多
    發(fā)表于 11-26 07:46

    基于FPGA神經(jīng)網(wǎng)絡(luò)的性能評估及局限性

    FPGA實現(xiàn)神經(jīng)網(wǎng)絡(luò)關(guān)鍵問題分析基于FPGA的ANN實現(xiàn)方法基于FPGA
    發(fā)表于 04-30 06:58

    FPGA實現(xiàn)大型神經(jīng)網(wǎng)絡(luò)的設(shè)計

    1、加速神經(jīng)網(wǎng)絡(luò)的必備開源項目  到底純FPGA適不適合這種大型神經(jīng)網(wǎng)絡(luò)的設(shè)計?這個問題其實我們不適合回答,但是FPGA廠商是的實際操作是很
    發(fā)表于 10-24 16:10

    信息保留的神經(jīng)網(wǎng)絡(luò)IR-Net,落地性能和實用性俱佳

    IR-Net提供了一個全新的角度來理解神經(jīng)網(wǎng)絡(luò)是如何運行的,并且具有很好的通用性,可以在標準的網(wǎng)絡(luò)訓(xùn)練流程中進行優(yōu)化。作者使用CIFAR
    的頭像 發(fā)表于 03-27 15:25 ?1853次閱讀
    信息保留的<b class='flag-5'>二</b><b class='flag-5'>值</b><b class='flag-5'>神經(jīng)網(wǎng)絡(luò)</b>IR-Net,落地性能和實用性俱佳

    基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)硬件實現(xiàn)

    基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)硬件實現(xiàn)說明。
    發(fā)表于 04-28 11:24 ?26次下載

    基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實現(xiàn)方法

    基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實現(xiàn)方法說明。
    發(fā)表于 06-01 09:35 ?37次下載
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>神經(jīng)網(wǎng)絡(luò)</b>硬件<b class='flag-5'>實現(xiàn)</b>方法

    基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)的硬件實現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)的硬件實現(xiàn).pdf》資料免費下載
    發(fā)表于 10-23 10:21 ?0次下載
    基于<b class='flag-5'>FPGA</b>的RBF<b class='flag-5'>神經(jīng)網(wǎng)絡(luò)</b>的硬件<b class='flag-5'>實現(xiàn)</b>

    如何在FPGA實現(xiàn)神經(jīng)網(wǎng)絡(luò)

    可編程門陣列(FPGA)作為一種靈活、高效的硬件實現(xiàn)方式,為神經(jīng)網(wǎng)絡(luò)的加速提供了新的思路。本文將從FPGA實現(xiàn)
    的頭像 發(fā)表于 07-10 17:01 ?1089次閱讀