0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

電子工程師 ? 來源:網(wǎng)絡(luò)整理 ? 作者:工程師黃明星 ? 2018-06-08 10:24 ? 次閱讀

引言

在全加器設(shè)計(jì)中運(yùn)用PG邏輯是非常普遍的,本文在設(shè)計(jì)和研究全加器時(shí),根據(jù)現(xiàn)有的PG邏輯公式推導(dǎo)出了一種新的邏輯公式,并論證了兩者之間的等價(jià)關(guān)系。這一新的公式能夠指導(dǎo)全加器設(shè)計(jì)中的連線方式,靈活更改連線策略。本文將從基本原理開始逐步引出該公式,對(duì)其進(jìn)行論證,并應(yīng)用于全加器設(shè)計(jì)中。

基本原理

N位全加器將{AN,……,A1}、{BN,……,B1}和進(jìn)位輸入Cin作為輸入,計(jì)算得到和{SN,……,S1}以及最高位的進(jìn)位輸出Cout(見圖1)。每一位得到的和與進(jìn)位輸出都直接受其上一位的影響,其進(jìn)位輸出也會(huì)影響下一位。最終,整個(gè)全加器的和與輸出都受進(jìn)位輸入Cin的影響。

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖1 N位全加器

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖2 多位組傳播Cin 或者直接產(chǎn)生進(jìn)位輸出

全加器最簡(jiǎn)單的構(gòu)成方法就是把每一位的進(jìn)位輸出與下一位的進(jìn)位輸入簡(jiǎn)單地連接起來,得到的就是行波進(jìn)位全加器。但在快速全加器中,是將加數(shù)和被加數(shù)中具有相同下標(biāo)的位分成若干組,即多個(gè)多位組,并將各個(gè)多位組看作一個(gè)整體。通過計(jì)算多位組的PG邏輯,在求和之前可預(yù)測(cè)多位組的進(jìn)位輸出是傳播進(jìn)位輸入還是直接產(chǎn)生進(jìn)位輸出。多位組所包括的位在i到j(luò)的范圍內(nèi)(見圖2),如果該多位組的進(jìn)位輸出是與進(jìn)位輸入無關(guān)的“真”值,那么它就產(chǎn)生了一個(gè)進(jìn)位;如果該多位組的進(jìn)位輸出只有當(dāng)進(jìn)位輸入為“真”時(shí)才進(jìn)位輸出“真”值,那么它就傳播了一個(gè)進(jìn)位。對(duì)于i≥k≥j,這些信號(hào)能夠遞歸地定義為:

Gi:j=Gi:k+Pi:kGk-1:j;Pi:j=Pi:kPk-1:j

其中 Gi:i≡Gi=AiBi;Pi:i≡Pi=Aii;定義 G0:0=Cin;P0:0=0

通過觀察可知,第i位的進(jìn)位輸出總是與Cin有關(guān),所以有Ci=Ci:0,和Si=Ai臖i臗i-1=Pii臛i-1:0。由此可見,只要算出各位的Pi:i值和Gi:0值,就可以將各位的Si值求出。而其中最關(guān)鍵的就是利用遞歸公式快速算出各Gi:0值。上述遞歸表達(dá)式可以用如圖3所示的電路表示。

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖3 遞歸表達(dá)式的對(duì)應(yīng)電路

為了能夠更加簡(jiǎn)潔地表達(dá)全加器電路結(jié)構(gòu),可將圖3中的電路用圖4所示的黑色單元表示,并用圖4中的白色單元表示圖5所示的G邏輯產(chǎn)生電路。

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖4 黑色單元和白色單元

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖5 G邏輯產(chǎn)生電路

根據(jù)遞歸公式,可以得到各種不同結(jié)構(gòu)的全加器,他們的邏輯級(jí)數(shù)、扇出、布線通道數(shù)、所用單元數(shù)等各不相同,在此不再贅述,只給出一種Kogge-Stone樹型全加器PG網(wǎng)絡(luò),如圖6所示。圖的上部即是各位的本位Pi:i和Gi:i產(chǎn)生邏輯,中部是PG傳播網(wǎng)絡(luò),下部是各位的進(jìn)位輸出Ci。這種樹型全加器具有理想的邏輯級(jí)數(shù)和扇出,但是連線復(fù)雜,也需要更多的單元。

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖6 Kogge-Stone樹型全加器PG網(wǎng)絡(luò)

等價(jià)型PG邏輯的論證

對(duì)上文給出的遞歸表達(dá)式進(jìn)行進(jìn)一步推導(dǎo),可得出如下結(jié)果:Gi:j=Gi:k+Pi:kGk-1:j=Gi:k+Pi:kGk:j(Gk-1:j可用Gk:j替代)

下面給出它的簡(jiǎn)單推導(dǎo)過程:

因?yàn)椋珿k:j=Gk:k+Pk:kGk-1:j

所以,Gi:k+Pi:kGk:j=Gi:k+Pi:k(Gk:k+Pk:kGk-1:j)=Gi:k+Pi:kGk:k+Pi:kPk:kGk-1:j

將Gi:k展開以后,上式=Gi:k+1+Pi:k+1Gk:k+Pi:kGk:k+Pi:kPk:k Gk-1:j

因?yàn)?,Pi:k=Pi:k+1Pk:k=Pi:kPk:k

所以,上式

=Gi:k+1+Pi:k+1Gk:k(1+Pk:k)+Pi:kGk-1:j

=Gi:k+1+Pi:k+1Gk:k+Pi:kGk-1:j

=Gi:k+1+Pi:kGk-1:j

=Gi:j

等價(jià)型PG邏輯的運(yùn)用

運(yùn)用新推導(dǎo)的等價(jià)型PG邏輯,可以改變PG傳播網(wǎng)絡(luò)的連接形式,如圖7所示,原來某些應(yīng)該獨(dú)立連接的節(jié)點(diǎn),現(xiàn)在可以利用等價(jià)型邏輯表達(dá)式將它們連在一起,比如在圖7中的“5:4”和“4:3”兩個(gè)節(jié)點(diǎn),在圖6中它們分別應(yīng)該按原始公式連接“3:2”和“2:1”兩點(diǎn),現(xiàn)在可以根據(jù)新公式將它們都連接到“3:2”,其它節(jié)點(diǎn)以此類推。而且,在圖7中的“3:0”節(jié)點(diǎn)處負(fù)載較重,因此可以將“11:4”、“10:4”連接到“4:0”,以減輕“3:0”處的負(fù)載??偟恼f來,改進(jìn)以后的全加器在布線上可以相對(duì)于未改進(jìn)的電路減少近一半,但負(fù)載相對(duì)來說也增加了一倍。因此,在實(shí)際電路中可以靈活調(diào)整連接關(guān)系,以平衡布線與負(fù)載之間的矛盾,同時(shí)對(duì)某些負(fù)載重的節(jié)點(diǎn)需要增加若干反相器,以增大該節(jié)點(diǎn)的驅(qū)動(dòng)能力。

改變?cè)腥悠鹘Y(jié)構(gòu)的等價(jià)型邏輯表達(dá)式

圖8 改進(jìn)PG傳播網(wǎng)絡(luò)以后的全加器

仿真與驗(yàn)證

本文按照等價(jià)型PG邏輯的原理編寫了如上所述的15位加法器的Verilog描述,并用ModelSim對(duì)其進(jìn)行了仿真,對(duì)隨機(jī)數(shù)進(jìn)行相加,得到了正確的結(jié)果,說明在邏輯上該P(yáng)G邏輯是正確的,如圖8所示。其中a、b為兩個(gè)15位的隨機(jī)數(shù),ci為隨機(jī)的進(jìn)位輸入,co為進(jìn)位輸出,sum是最終的和(其最高位是co)。

圖8 運(yùn)用等價(jià)型PG邏輯設(shè)計(jì)的15位加法器的仿真波形

結(jié)語

本文根據(jù)現(xiàn)有PG邏輯計(jì)算公式,推導(dǎo)出了一種新的與之等價(jià)的邏輯表達(dá)式。將這一邏輯表達(dá)式運(yùn)用到加法器設(shè)計(jì)中去,能夠改變PG傳播網(wǎng)絡(luò)的結(jié)構(gòu),減少連線數(shù)目,降低布線復(fù)雜度,這樣會(huì)更有利于后端的版圖布線。但此方法會(huì)相應(yīng)增大某些節(jié)點(diǎn)的負(fù)載,勢(shì)必帶來延遲的增加,因此需要精心設(shè)計(jì)晶體管尺寸或增加節(jié)點(diǎn)處的驅(qū)動(dòng)能力,以使電路達(dá)到時(shí)序要求。

在今后的全加器設(shè)計(jì)中,可以根據(jù)具體情況靈活調(diào)整PG傳播網(wǎng)絡(luò)的結(jié)構(gòu),盡量使得布線與負(fù)載達(dá)到一定程度的平衡。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 負(fù)載
    +關(guān)注

    關(guān)注

    2

    文章

    529

    瀏覽量

    34128
  • 全加器
    +關(guān)注

    關(guān)注

    10

    文章

    59

    瀏覽量

    28341
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    什么是正則表達(dá)式?正則表達(dá)式如何工作?哪些語法規(guī)則適用正則表達(dá)式

    正則表達(dá)式又稱規(guī)則表達(dá)式(Regular Expression,在代碼中常簡(jiǎn)寫為 regex、regexp 或 RE),是一種用于匹配、查找、替換文本的強(qiáng)大工具。它能夠以特定的模式匹配字符串,從而
    的頭像 發(fā)表于 11-03 14:41 ?1751次閱讀
    什么是正則<b class='flag-5'>表達(dá)式</b>?正則<b class='flag-5'>表達(dá)式</b>如何工作?哪些語法規(guī)則適用正則<b class='flag-5'>表達(dá)式</b>?

    MATLAB符號(hào)表達(dá)式

    = [a,b] [c,d]M以三種方式定義: 數(shù)字型(如果a、b、c、d已預(yù)先確定)、字符串或符號(hào)矩陣。許多符號(hào)函數(shù)非常巧妙能夠自動(dòng)將字符轉(zhuǎn)變?yōu)榉?hào)表達(dá)式。但在某些
    發(fā)表于 09-22 15:45

    邏輯表達(dá)式的簡(jiǎn)化和轉(zhuǎn)換方法的改進(jìn)

    定理和公式將能更好地利用布爾代數(shù)法對(duì)邏輯表達(dá)式進(jìn)行簡(jiǎn)化【關(guān)鍵詞】:邏輯表達(dá)式;;布爾代數(shù);;與-或;;與-異或【DOI】:CNKI:SUN:SHDZ.0.2010-01-009【正文快
    發(fā)表于 04-24 09:03

    防范表達(dá)式的失控

    在C 語言中,表達(dá)式是最重要的組成部分之一,幾乎所有的代碼都由表達(dá)式構(gòu)成。表達(dá)式的使用如此廣泛,讀者也許會(huì)產(chǎn)生這樣的疑問,像+ 、- 、3 、/ 、& & 這樣簡(jiǎn)單的運(yùn)算也會(huì)出現(xiàn)
    發(fā)表于 04-22 16:57 ?13次下載

    OFDM的基帶信號(hào)表達(dá)式

    OFDM的基帶信號(hào)表達(dá)式1 OFDM的系統(tǒng)結(jié)構(gòu) OFDM的系統(tǒng)結(jié)構(gòu)如圖1所示。
    發(fā)表于 10-20 16:07 ?6032次閱讀
    OFDM的基帶信號(hào)<b class='flag-5'>表達(dá)式</b>

    全加器邏輯表達(dá)式_全加器邏輯功能

    本文主要介紹了全加器邏輯表達(dá)式全加器邏輯功能。
    的頭像 發(fā)表于 04-23 09:51 ?12.7w次閱讀
    <b class='flag-5'>全加器</b><b class='flag-5'>邏輯</b><b class='flag-5'>表達(dá)式</b>_<b class='flag-5'>全加器</b>的<b class='flag-5'>邏輯</b>功能

    Python正則表達(dá)式指南

    本文介紹了Python對(duì)于正則表達(dá)式的支持,包括正則表達(dá)式基礎(chǔ)以及Python正則表達(dá)式標(biāo)準(zhǔn)庫(kù)的完整介紹及使用示例。本文的內(nèi)容不包括如何編寫高效的正則表達(dá)式、如何優(yōu)化正則
    發(fā)表于 03-26 09:13 ?10次下載
    Python正則<b class='flag-5'>表達(dá)式</b>指南

    基于運(yùn)算符信息的數(shù)學(xué)表達(dá)式檢索技術(shù)

    傳統(tǒng)的文本檢索技術(shù)主要面向一維文本,難以用于對(duì)二維結(jié)構(gòu)數(shù)學(xué)表達(dá)式的檢索。針對(duì)該問題,通過引入公式描述結(jié)構(gòu),實(shí)現(xiàn)基于運(yùn)算符信息的數(shù)學(xué)表達(dá)式檢索。利用公式描述
    發(fā)表于 04-29 15:58 ?2次下載
    基于運(yùn)算符信息的數(shù)學(xué)<b class='flag-5'>表達(dá)式</b>檢索技術(shù)

    Lambda表達(dá)式詳解

    C++11中的Lambda表達(dá)式用于 **定義并創(chuàng)建匿名的函數(shù)對(duì)象** ,以簡(jiǎn)化編程工作。下面看一下Lambda表達(dá)式的基本構(gòu)成。
    的頭像 發(fā)表于 02-09 11:28 ?1028次閱讀

    表達(dá)式邏輯門之間的關(guān)系

    邏輯表達(dá)式是指表示一個(gè)表示邏輯運(yùn)算關(guān)系的式子,是一個(gè)抽象的類似數(shù)學(xué)表達(dá)式,下面我們重點(diǎn)說明下其表達(dá)式
    的頭像 發(fā)表于 02-15 14:54 ?1413次閱讀
    <b class='flag-5'>表達(dá)式</b>與<b class='flag-5'>邏輯</b>門之間的關(guān)系

    C語言的表達(dá)式

    在C語言中,表達(dá)式是由操作符和操作數(shù)組成。表達(dá)式可以由一個(gè)或者多個(gè)操作數(shù)組成,不同的操作符與操作數(shù)組成不同的表達(dá)式,因此,表達(dá)式才是C語言的基本。
    的頭像 發(fā)表于 02-21 15:09 ?1169次閱讀
    C語言的<b class='flag-5'>表達(dá)式</b>

    邏輯運(yùn)算符與表達(dá)式

    在C語言中,我們通常會(huì)進(jìn)行真值與假值的判斷,這時(shí)我們就需要用到邏輯運(yùn)算符與邏輯表達(dá)式。如果表達(dá)式的值不為0,則通通返回為真值。只有當(dāng)表達(dá)式
    的頭像 發(fā)表于 02-21 15:16 ?1838次閱讀
    <b class='flag-5'>邏輯</b>運(yùn)算符與<b class='flag-5'>表達(dá)式</b>

    邏輯運(yùn)算符與表達(dá)式

    邏輯運(yùn)算符與位邏輯表達(dá)式可以實(shí)現(xiàn)位的編輯,比如位的清零、設(shè)置、取反和取補(bǔ)等操作。使用位邏輯運(yùn)算符與位邏輯
    的頭像 發(fā)表于 02-21 15:22 ?1132次閱讀
    位<b class='flag-5'>邏輯</b>運(yùn)算符與<b class='flag-5'>表達(dá)式</b>

    zabbix觸發(fā)器表達(dá)式 基本RS觸發(fā)器表達(dá)式 rs觸發(fā)器的邏輯表達(dá)式

    zabbix觸發(fā)器表達(dá)式 基本RS觸發(fā)器表達(dá)式 rs觸發(fā)器的邏輯表達(dá)式? Zabbix是一款開源的監(jiān)控軟件,它能通過監(jiān)控指標(biāo)來實(shí)時(shí)監(jiān)測(cè)服務(wù)器和網(wǎng)絡(luò)的運(yùn)行狀態(tài),同時(shí)還能提供警報(bào)和報(bào)告等功
    的頭像 發(fā)表于 08-24 15:50 ?1436次閱讀

    全加器邏輯表達(dá)式怎么推

    全加器是計(jì)算機(jī)中常用的一種邏輯電路,用于實(shí)現(xiàn)二進(jìn)制加法運(yùn)算。全加器接受兩個(gè)輸入位和一個(gè)進(jìn)位位,并輸出一個(gè)和位和一個(gè)進(jìn)位位。它的邏輯表達(dá)式可以
    的頭像 發(fā)表于 12-25 16:09 ?3204次閱讀