0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

介紹系統(tǒng)接口sdc

數(shù)字后端IC芯片設(shè)計 ? 2018-02-03 14:34 ? 次閱讀

今天要介紹的基本sdc是系統(tǒng)接口sdc。主要包括set_drive,set_driving_cell, set_input_transition, set_load這四條命令,都是和端口上的驅(qū)動負載有關(guān).

set_drive:設(shè)置輸入端口或者雙向端口的電阻值,主要是為了更加精確的估計輸入電路的延遲,我們需要知道信號到達輸入端口的transition time,set drive使用一個確定的值來估計輸入端的輸入電阻,從而得到輸入端口的延遲,該值越小,驅(qū)動能力越強。

例:set_drive 2.0 [get_ports in1]

注意:不能使set_drive值為0,這樣輸入就具有無限大的驅(qū)動能力,意味著transition time為0.

set_driving_cell:這個約束其實跟set_drive實現(xiàn)的是同一個功能,指使用設(shè)計庫中的某一個單元來驅(qū)動輸入端口,然后按照該單元的輸入電阻來計算tranition time,從而得到輸入端口的延遲。通常要比set_drive使用更多,一般用在block level上, 因為會考慮OCV等因素,會更加準確一點。

例:set_driving_cell-lib_cell AND -from_pin B -pin Y IN1

set_input_transition:設(shè)定輸入端口的transition time,一般用于chip io pad約束,因為Pad上電壓都比較高,對應(yīng)的capacitance和transition也比較大,沒法用driving cell.

例:set_input_transition 0.8 [get_ports DATA_IN*]

set_load:設(shè)置輸出電路的負載。由于外部電路的負載將會影響到接到端口上單元的延遲,因此需要對端口的帶負載能力做限制。為了比較精確地計算出輸出電路的延遲,我們需要知道輸出電路的所有負載。電路負載電容越大,延遲時間越小。

例:set_load 1.5 -min -pin_load [get_ports in1]

注意:不能使負載電容為0,這樣輸出端口就具有無窮大的驅(qū)動能力

介紹系統(tǒng)接口sdc

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 系統(tǒng)接口
    +關(guān)注

    關(guān)注

    0

    文章

    6

    瀏覽量

    10409
  • SDC
    SDC
    +關(guān)注

    關(guān)注

    0

    文章

    48

    瀏覽量

    15484

原文標題:時序分析基本概念介紹<系統(tǒng)接口sdc>

文章出處:【微信號:IC_Physical_Design,微信公眾號:數(shù)字后端IC芯片設(shè)計】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    時序分析的設(shè)計約束(SDC

    使用SDC命令create_clock創(chuàng)建時鐘,時鐘周期20,占空比50%的時鐘信號;
    的頭像 發(fā)表于 11-08 09:12 ?7382次閱讀

    Sunlord SDC***C系列和SDC***Q系列有何差別?

    Sunlord SDC***C系列和SDC***Q系列有何差別?
    發(fā)表于 10-16 20:24

    ETD第14期:SDR源同步接口時序約束方法

    。本次沙龍主要介紹怎樣通過Quartus? II軟件中的TimeQuest時序分析器來約束并分析單倍數(shù)據(jù)速率源同步接口。會議焦點  1、源同步接口相對于公共時鐘系統(tǒng)
    發(fā)表于 12-31 14:21

    SDC-CHINO DQ-100爐膛溫度顯示表

    SDC-CHINO DQ-100爐膛溫度顯示表 SDC-CHINO DQ-100爐膛溫度顯示表 SDC-CHINO DQ-100爐膛溫度顯示表詳詢請致電 業(yè)務(wù)部: *** 吳經(jīng)理工作Q
    發(fā)表于 12-30 10:57

    霍爾開關(guān)SDC1211,SDC1215,SDC1177,SDC1181,SDC1182,SDC1183應(yīng)用于TWS,筋模槍,流量傳感器,開關(guān)門檢測等

    SDC1211特點 ? 全極性? 極低的功耗設(shè)計 ? 工作電壓范圍:2.4V~5V ? 輸出方式:CMOS輸出? 斬波放大器設(shè)計,對因工藝、工作溫度和機械應(yīng)力產(chǎn)生的噪聲和失調(diào)敏感度低 ? 不區(qū)分磁場
    發(fā)表于 04-26 13:57

    任何一個適用于ESP8266的MMC/SDC文件系統(tǒng)接口?

    任何一個適用于 ESP8266 的 MMC/SDC 文件系統(tǒng)接口? 我想啟動一個將 elm-chan 的 FatFS 項目移植到 ESP 的項目。
    發(fā)表于 05-24 09:18

    請問時序約束文件SDC支持哪些約束?

    時序約束文件SDC支持哪些約束?
    發(fā)表于 08-11 09:27

    基于SDC-PC0281恒流輸出LED驅(qū)動電源

    基于SDC-PC0281恒流輸出LED驅(qū)動電源
    發(fā)表于 09-15 16:58 ?4次下載

    SDC1742 12位自整角機數(shù)字轉(zhuǎn)換器

    電子發(fā)燒友網(wǎng)為你提供ADI(ti)SDC1742相關(guān)產(chǎn)品參數(shù)、數(shù)據(jù)手冊,更有SDC1742的引腳圖、接線圖、封裝手冊、中文資料、英文資料,SDC1742真值表,SDC1742管腳等資料
    發(fā)表于 02-22 13:08
    <b class='flag-5'>SDC</b>1742 12位自整角機數(shù)字轉(zhuǎn)換器

    時序分析的設(shè)計約束SDC怎么寫呢?

    使用SDC命令create_clock創(chuàng)建時鐘,時鐘周期20,占空比50%的時鐘信號
    的頭像 發(fā)表于 06-18 09:42 ?3869次閱讀
    時序分析的設(shè)計約束<b class='flag-5'>SDC</b>怎么寫呢?

    SDC是如何煉成的?怎么去驗收SDC呢?

    STA是由SDC驅(qū)動的,所以SDC的完整性、正確性和一致性直接決定著綜合、布局布線以及STA的有效性。
    的頭像 發(fā)表于 06-28 17:17 ?3305次閱讀
    <b class='flag-5'>SDC</b>是如何煉成的?怎么去驗收<b class='flag-5'>SDC</b>呢?

    時序分析基本概念—SDC概述

    今天我們要介紹的時序概念是設(shè)計約束文件 **SDC** . 全稱 ***Synopsys design constraints*** . SDC是一個設(shè)計中至關(guān)重要的一個文件。
    的頭像 發(fā)表于 07-03 14:51 ?5490次閱讀
    時序分析基本概念—<b class='flag-5'>SDC</b>概述

    介紹基本的sdc時序特例

    今天我們要介紹的基本sdc是 **時序特例** ,也就是我們常說的Path exception。針對一些路徑需要的一些特殊設(shè)定,常用的有set_false_path, set_multicycle_path, set_max_delay,set_min_delay等
    的頭像 發(fā)表于 07-03 15:34 ?703次閱讀
    <b class='flag-5'>介紹</b>基本的<b class='flag-5'>sdc</b>時序特例

    時序分析基本概念介紹—時鐘sdc

    雖然sdc大大小小有上百條命令,但實際常用的其實就那么10幾條。今天我們來介紹下與時鐘相關(guān)的命令。
    的頭像 發(fā)表于 07-05 10:57 ?1654次閱讀
    時序分析基本概念<b class='flag-5'>介紹</b>—時鐘<b class='flag-5'>sdc</b>

    探討一下SDC的各種語法構(gòu)成和整體結(jié)構(gòu)

    SDC是一個設(shè)計從RTL到netlist的橋梁,是FE/ME/BE都需要掌握的一項基本技能。通常情況來說,由前端工程師(designer)提供SDC文件是最合理的
    的頭像 發(fā)表于 07-06 15:28 ?3040次閱讀
    探討一下<b class='flag-5'>SDC</b>的各種語法構(gòu)成和整體結(jié)構(gòu)