0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

eda是什么技術(shù)_eda為什么又叫單片機(jī)

姚小熊27 ? 來(lái)源:網(wǎng)絡(luò)整理 ? 2018-03-12 11:40 ? 次閱讀

eda是什么技術(shù)

EDA是電子設(shè)計(jì)自動(dòng)化(ElectronicsDesignAutomation)的縮寫(xiě),在20世紀(jì)60年代中期從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來(lái)的。

EDA技術(shù)是指以計(jì)算機(jī)為工作平臺(tái),融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。用硬件描述語(yǔ)言VerilogHDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。EDA技術(shù)的出現(xiàn),極大地提高了電路設(shè)計(jì)的效率和可操作性,減輕了設(shè)計(jì)者的勞動(dòng)強(qiáng)度。

利用EDA工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),大量工作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過(guò)程的計(jì)算機(jī)上自動(dòng)處理完成。

eda的設(shè)計(jì)方法

(1)前端設(shè)計(jì)(系統(tǒng)建模RTL級(jí)描述)后端設(shè)計(jì)(FPGAASIC)系統(tǒng)建模。

(2)IP復(fù)用。

(3)前端設(shè)計(jì)。

(4)系統(tǒng)描述:建立系統(tǒng)的數(shù)學(xué)模型。

(5)功能描述:描述系統(tǒng)的行為或各子模塊之間的數(shù)據(jù)流圖。

(6)邏輯設(shè)計(jì):將系統(tǒng)功能結(jié)構(gòu)化,通常以文本、原理圖、邏輯圖、布爾表達(dá)式來(lái)表示設(shè)計(jì)結(jié)果。

(7)仿真:包括功能仿真和時(shí)序仿真,主要驗(yàn)證系統(tǒng)功能的正確性及時(shí)序特性。

eda是什么技術(shù)_eda為什么又叫單片機(jī)

eda的設(shè)計(jì)技巧

(1)密碼鎖輸入電路KEYB0ARD.VHD中對(duì)各種分頻信號(hào)/信號(hào)序列的設(shè)計(jì)有獨(dú)到之處。該設(shè)計(jì)中,利用一個(gè)自由計(jì)數(shù)器來(lái)產(chǎn)生各種需要的頻率,也就是先建立一個(gè)N位計(jì)數(shù)器,N的大小根據(jù)電路的需求來(lái)決定。N的值越大,電路可以除頻的次數(shù)就越多,這樣就可以獲得更大的頻率變化,以便提供多種不同頻率的時(shí)鐘信號(hào)。若輸入時(shí)鐘為CLK,N位計(jì)數(shù)器的輸出為Q[N-1,0],則Q(0)為CLK的2分頻脈沖信號(hào),Q(1)為CLK的4分頻脈沖信號(hào),Q(2)為CLK的6分頻脈沖信號(hào),……Q(N-1)為CLK的2N分頻脈沖信號(hào);Q(5DOWNT04)取得的是一個(gè)脈沖波形序列,其值是依00、01、10、11、00、01周期性變化的,其變化頻率為CLK的25分頻,也就是32分頻。我們利用以上規(guī)律即可得到各種我們所需要頻率的信號(hào)或信號(hào)序列。

(2)鍵盤(pán)輸入去抖電路的設(shè)計(jì)程序DEBOUNCING.VHD在實(shí)際系統(tǒng)的開(kāi)發(fā)中有較好的參考價(jià)值。

(3)密碼鎖控制電路CTRL,VHD中對(duì)于數(shù)據(jù)的更新及移位方法比較好。程序中使用語(yǔ)句“ACC《=ACC(11DOWNT00)&DATA_N”非常簡(jiǎn)潔地同時(shí)實(shí)現(xiàn)了ACC中的低4位用DATA_N進(jìn)行更新,而高12位用ACC中的原來(lái)的低12位左移而來(lái)的處理。

(4)在密碼鎖輸入電路等模塊的程序的設(shè)計(jì)和仿真中,為了便于觀察一些中間結(jié)果,在程序中增加了一些觀測(cè)輸出點(diǎn)。這一設(shè)計(jì)技巧,對(duì)于較大的程序或多進(jìn)程程序的設(shè)計(jì)非常重要。同時(shí)在仿真時(shí),為了便于觀測(cè)全局結(jié)果,降低了分頻常數(shù)。同理,在進(jìn)行程序仿真時(shí),對(duì)于程序中數(shù)目較大的分頻/計(jì)數(shù)/計(jì)時(shí)常數(shù)的修改是非常必要的。

eda為什么又叫單片機(jī)

EDA是電子設(shè)計(jì)自動(dòng)化。一般大學(xué)的EDA課講的都是FPGA,有的略帶CPLD。FPGA是現(xiàn)場(chǎng)可編程門陣列,是一種可編程的芯片,你把它編成單片機(jī)那它就是單片機(jī);你編成其他的它就是其他的。設(shè)計(jì)FPGA要用EDA(電子設(shè)計(jì)自動(dòng)化)輔助工具,說(shuō)白了,這些工具就是芯片開(kāi)發(fā)廠商提供的開(kāi)發(fā)芯片設(shè)計(jì)的工具軟件,還有就是第三方提供的編譯仿真軟件。

EDA的應(yīng)用

EDA在教學(xué)、科研、產(chǎn)品設(shè)計(jì)與制造等各方面都發(fā)揮著巨大的作用。在教學(xué)方面,幾乎所有理工科(特別是電子信息)類的高校都開(kāi)設(shè)了EDA課程。主要是讓學(xué)生了解EDA的基本概念和基本原理、掌握用HDL語(yǔ)言編寫(xiě)規(guī)范、掌握邏輯綜合的理論和算法、使用EDA工具進(jìn)行電子電路課程的實(shí)驗(yàn)驗(yàn)證并從事簡(jiǎn)單系統(tǒng)的設(shè)計(jì)。一般學(xué)習(xí)電路仿真工具(如multiSIM、PSPICE)和PLD開(kāi)發(fā)工具(如Altera/Xilinx的器件結(jié)構(gòu)及開(kāi)發(fā)系統(tǒng)),為今后工作打下基礎(chǔ)。

科研方面主要利用電路仿真工具(multiSIM或PSPICE)進(jìn)行電路設(shè)計(jì)與仿真;利用虛擬儀器進(jìn)行產(chǎn)品測(cè)試;將CPLD/FPGA器件實(shí)際應(yīng)用到儀器設(shè)備中;從事PCB設(shè)計(jì)和ASIC設(shè)計(jì)等。

在產(chǎn)品設(shè)計(jì)與制造方面,包括計(jì)算機(jī)仿真,產(chǎn)品開(kāi)發(fā)中的EDA工具應(yīng)用、系統(tǒng)級(jí)模擬及測(cè)試環(huán)境的仿真,生產(chǎn)流水線的EDA技術(shù)應(yīng)用、產(chǎn)品測(cè)試等各個(gè)環(huán)節(jié)。如PCB的制作、電子設(shè)備的研制與生產(chǎn)、電路板的焊接、ASIC的制作過(guò)程等。

從應(yīng)用領(lǐng)域來(lái)看,EDA技術(shù)已經(jīng)滲透到各行各業(yè),如上文所說(shuō),包括在機(jī)械、電子、通信、航空航航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA應(yīng)用。另外,EDA軟件的功能日益強(qiáng)大,原來(lái)功能比較單一的軟件,現(xiàn)在增加了很多新用途。如AutoCAD軟件可用于機(jī)械及建筑設(shè)計(jì),也擴(kuò)展到建筑裝璜及各類效果圖、汽車和飛機(jī)的模型、電影特技等領(lǐng)域。

EDA技術(shù)的發(fā)展趨勢(shì)

從目前的EDA技術(shù)來(lái)看,其發(fā)展趨勢(shì)是政府重視、使用普及、應(yīng)用廣泛、工具多樣、軟件功能強(qiáng)大。

中國(guó)EDA市場(chǎng)已漸趨成熟,不過(guò)大部分設(shè)計(jì)工程師面向的是PCB制板和小型ASIC領(lǐng)域,僅有小部分(約11%)的設(shè)計(jì)人員開(kāi)發(fā)復(fù)雜的片上系統(tǒng)器件。為了與***和美國(guó)的設(shè)計(jì)工程師形成更有力的競(jìng)爭(zhēng),中國(guó)的設(shè)計(jì)隊(duì)伍有必要引進(jìn)和學(xué)習(xí)一些最新的EDA技術(shù)。

在信息通信領(lǐng)域,要優(yōu)先發(fā)展高速寬帶信息網(wǎng)、深亞微米集成電路、新型元器件、計(jì)算機(jī)及軟件技術(shù)、第三代移動(dòng)通信技術(shù)、信息管理、信息安全技術(shù),積極開(kāi)拓以數(shù)字技術(shù)、網(wǎng)絡(luò)技術(shù)為基礎(chǔ)的新一代信息產(chǎn)品,發(fā)展新興產(chǎn)業(yè),培育新的經(jīng)濟(jì)增長(zhǎng)點(diǎn)。要大力推進(jìn)制造業(yè)信息化,積極開(kāi)展計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助工程(CAE)、計(jì)算機(jī)輔助工藝(CAPP)、計(jì)算機(jī)機(jī)輔助制造(CAM)、產(chǎn)品數(shù)據(jù)管理(PDM)、制造資源計(jì)劃(MRPII)及企業(yè)資源管理(ERP)等。有條件的企業(yè)可開(kāi)展“網(wǎng)絡(luò)制造”,便于合作設(shè)計(jì)、合作制造,參與國(guó)內(nèi)和國(guó)際競(jìng)爭(zhēng)。開(kāi)展“數(shù)控化”工程和“數(shù)字化”工程。自動(dòng)化儀表的技術(shù)發(fā)展趨勢(shì)的測(cè)試技術(shù)、控制技術(shù)與計(jì)算機(jī)技術(shù)、通信技術(shù)進(jìn)一步融合,形成測(cè)量、控制、通信與計(jì)算機(jī)(M3C)結(jié)構(gòu)。在ASIC和PLD設(shè)計(jì)方面,向超高速、高密度、低功耗、低電壓方面發(fā)展。

外設(shè)技術(shù)與EDA工程相結(jié)合的市場(chǎng)前景看好,如組合超大屏幕的相關(guān)連接,多屏幕技術(shù)也有所發(fā)展。

中國(guó)自1995年以來(lái)加速開(kāi)發(fā)半導(dǎo)體產(chǎn)業(yè),先后建立了幾所設(shè)計(jì)中心,推動(dòng)系列設(shè)計(jì)活動(dòng)以應(yīng)對(duì)亞太地區(qū)其它EDA市場(chǎng)的競(jìng)爭(zhēng)。

在EDA軟件開(kāi)發(fā)方面,目前主要集中在美國(guó)。但各國(guó)也正在努力開(kāi)發(fā)相應(yīng)的工具。日本、韓國(guó)都有ASIC設(shè)計(jì)工具,但不對(duì)外開(kāi)放。中國(guó)華大集成電路設(shè)計(jì)中心,也提供IC設(shè)計(jì)軟件,但性能不是很強(qiáng)。相信在不久的將來(lái)會(huì)有更多更好的設(shè)計(jì)工具在各地開(kāi)花并結(jié)果。據(jù)最新統(tǒng)計(jì)顯示,中國(guó)和印度正在成為電子設(shè)計(jì)自動(dòng)化領(lǐng)域發(fā)展最快的兩個(gè)市場(chǎng),年復(fù)合增長(zhǎng)率分別達(dá)到了50%和30%。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 單片機(jī)
    +關(guān)注

    關(guān)注

    6022

    文章

    44375

    瀏覽量

    628278
  • eda
    eda
    +關(guān)注

    關(guān)注

    71

    文章

    2654

    瀏覽量

    172152
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    MCU,DSP,PLD/EDA的介紹/比較/分析

    和設(shè)計(jì)觀念。單片機(jī),DSP,PLD/ EDA 以其各自的特點(diǎn)滿足了各種需要,正從各個(gè)領(lǐng)域各個(gè)層面改變著世界,它們已經(jīng)成為數(shù)字時(shí)代的核心動(dòng)力,推動(dòng)著信息技術(shù)的快速發(fā)展。 以下,我們將對(duì)單片機(jī)
    發(fā)表于 06-29 11:35

    EDA技術(shù)從何而來(lái)?EDA技術(shù)發(fā)展歷程

    (計(jì)算機(jī)輔助工程)的概念發(fā)展起來(lái)的。EDA技術(shù)就是以計(jì)算機(jī)科學(xué)和微電子技術(shù)發(fā)展為先導(dǎo),匯集了計(jì)算機(jī)圖形學(xué)、拓?fù)溥壿媽W(xué)、微電子工藝與結(jié)構(gòu)學(xué)和計(jì)算數(shù)學(xué)等多種計(jì)算機(jī)應(yīng)用學(xué)科最新成果的先進(jìn)技術(shù)
    發(fā)表于 02-21 09:41

    什么是EDA技術(shù)

    什么是EDA技術(shù)?EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)縮寫(xiě),是90年代初從CAD(計(jì)算機(jī)輔助設(shè)計(jì))、CAM(計(jì)算機(jī)輔助制造)、CAT(計(jì)算機(jī)輔助測(cè)試
    發(fā)表于 07-30 06:20

    基于EDA技術(shù)的FPGA該怎么設(shè)計(jì)?

    對(duì)傳統(tǒng)電子系統(tǒng)設(shè)計(jì)方法與現(xiàn)代電子系統(tǒng)設(shè)計(jì)方法進(jìn)行了比較,引出了基于EDA技術(shù)的現(xiàn)場(chǎng)可編程門陣列(FPGA)電路,提出現(xiàn)場(chǎng)可編程門陣列(FPGA)是近年來(lái)迅速發(fā)展的大規(guī)模可編程專用集成電路(ASIC
    發(fā)表于 09-03 06:17

    EDA技術(shù)的發(fā)展,EDA技術(shù)的基本設(shè)計(jì)方法有哪些?

    EDA技術(shù)的發(fā)展ESDA技術(shù)的基本特征是什么?EDA技術(shù)的基本設(shè)計(jì)方法有哪些?
    發(fā)表于 04-21 07:21

    基于EDA軟件Proteus的LED屏下位機(jī)系統(tǒng)設(shè)計(jì)

    為了開(kāi)發(fā)LED信息顯示屏系統(tǒng),對(duì)LED單行顯示屏下位機(jī)系統(tǒng)進(jìn)行了研究,利用EDA軟件PRO-TEUS建立了基于51單片機(jī)的硬件電路原理圖,同時(shí)在KEIL環(huán)境下編寫(xiě)了相應(yīng)的單片機(jī)通信和控
    發(fā)表于 05-10 09:05

    單片機(jī)微機(jī)EDA開(kāi)發(fā)實(shí)驗(yàn)箱QY-JXSY39由哪些配置組成?特點(diǎn)是什么?

    單片機(jī)微機(jī)EDA開(kāi)發(fā)實(shí)驗(yàn)箱QY-JXSY39的特點(diǎn)是什么?單片機(jī)微機(jī)EDA開(kāi)發(fā)實(shí)驗(yàn)箱QY-JXSY39由哪些配置組成?
    發(fā)表于 09-23 08:47

    EDA技術(shù)是什么?EDA常用軟件有哪些

    EDA技術(shù)是什么?EDA常用軟件有哪些?電子電路設(shè)計(jì)與仿真工具包括哪些呢?
    發(fā)表于 01-24 06:34

    基于單片機(jī)、EDA 技術(shù)的波形發(fā)生器的設(shè)計(jì)

    本設(shè)計(jì)是基于單片機(jī)EDA 相結(jié)合的技術(shù),用于產(chǎn)生各種頻率的正弦波、方波和三角波,其幅值0~5V 可調(diào),幅值步進(jìn)為0.039V,頻率步進(jìn)為1Hz。該波形發(fā)生器以單片機(jī)(MCS8031)
    發(fā)表于 08-15 09:10 ?117次下載

    采用單片機(jī)MCS8031,EDA技術(shù)的波形發(fā)生器的設(shè)計(jì)

      隨著深亞微米半導(dǎo)體技術(shù)的進(jìn)步,可編程邏輯器件及EDA技術(shù)的應(yīng)用已經(jīng)相當(dāng)普及?;诳删幊踢壿嬈骷母哳l性質(zhì)和單片機(jī)強(qiáng)大的數(shù)據(jù)處理功能,二者結(jié)合的
    發(fā)表于 03-05 12:16 ?1044次閱讀

    單片機(jī)EDA協(xié)同設(shè)計(jì)溫度采集系統(tǒng)

    單片機(jī)EDA協(xié)同設(shè)計(jì)溫度采集系統(tǒng) 本系統(tǒng)采用現(xiàn)場(chǎng)可編程門陣列(FPGAEP1K30QC208-3)對(duì)數(shù)據(jù)進(jìn)行處理,它的程序能夠在線修改,因此有極強(qiáng)的可塑性??梢赃m時(shí)
    發(fā)表于 05-05 13:59 ?868次閱讀
    用<b class='flag-5'>單片機(jī)</b>和<b class='flag-5'>EDA</b>協(xié)同設(shè)計(jì)溫度采集系統(tǒng)

    EDA儀器儀表CAD課件_余先濤

    eda課件,主要講現(xiàn)代信息技術(shù)和電子技術(shù),以及單片機(jī)的發(fā)展
    發(fā)表于 11-23 12:04 ?0次下載

    簡(jiǎn)述什么是eda技術(shù)_eda技術(shù)好學(xué)嗎_如何學(xué)習(xí)EDA技術(shù)

    由于電子技術(shù)的飛速發(fā)展,使得基于EDA技術(shù)的電子系統(tǒng)設(shè)計(jì)方法得以廣泛應(yīng)用。EDA技術(shù)已成為現(xiàn)代系統(tǒng)設(shè)計(jì)和電子產(chǎn)品研發(fā)的有效工具,成為電子工程
    發(fā)表于 04-27 09:21 ?3.8w次閱讀

    淺談EDA單片機(jī)在鍵盤(pán)上的應(yīng)用

    EDA單片機(jī)技術(shù)在鍵盤(pán)上的應(yīng)用為了更深入的研究 EDA 技術(shù)單片機(jī)
    發(fā)表于 07-30 10:47 ?1461次閱讀

    單片機(jī)_DSP_PLD/EDA的比較和分析

    一樣簡(jiǎn)略。它作為一種創(chuàng)新技術(shù)正在變更著數(shù)字系統(tǒng)的設(shè)計(jì)方法、設(shè)計(jì)過(guò)程和設(shè)計(jì)觀念。單片機(jī),DSP,PLD/ EDA以其各自的特點(diǎn)滿足了各種須要,正從各個(gè)領(lǐng)域各個(gè)層面變更著世界,它們已經(jīng)成為數(shù)字時(shí)代的核心
    的頭像 發(fā)表于 10-15 12:05 ?882次閱讀