0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

邁向5nm、3nm或甚至2nm半導(dǎo)體制程技術(shù)之路

Dbwd_Imgtec ? 來源:未知 ? 作者:李倩 ? 2018-03-30 15:05 ? 次閱讀

即使是5nm制程,已經(jīng)令人難以確定能否從中找到任何優(yōu)勢(shì)了,3nm很可能成為半導(dǎo)體終極先進(jìn)制程,而2nm似乎太遙遠(yuǎn)…

在邁向5nm、3nm或甚至2nm半導(dǎo)體制程技術(shù)之路,業(yè)界工程師可能有多種選擇,但有些人并不確定他們是否仍能從中找到任何商業(yè)利益,甚至是5nm制程。

為了打造尺寸日益縮小的晶片,所需的復(fù)雜度與成本越來越高,但卻導(dǎo)致收益遞減。日前于新思科技(Synopsys)用戶大會(huì)(SNUG)的一場(chǎng)座談會(huì)上,高通公司(Qualcomm)的一位工程師指出,行動(dòng)處理器資料速率將在3GHz達(dá)到峰值,而功耗和面積增益則從7nm開始縮減。

高通設(shè)計(jì)技術(shù)團(tuán)隊(duì)資深工程總監(jiān)Paul Penzes指出,由于金屬導(dǎo)線中存在電阻性,使得10nm時(shí)速度提升的16%到了7nm時(shí)耗盡。此外,從10nm進(jìn)展到7nm,功耗節(jié)省的幅度將從30%縮減到10-25%,面積微縮的幅度也會(huì)從37%減少到20-30%。

數(shù)十年來,電子產(chǎn)業(yè)一直循“摩爾定律”(Moore’s law)所設(shè)定的開發(fā)藍(lán)圖——晶片上可容納的電晶體數(shù)量大約每隔兩年增加1倍。其結(jié)果是從個(gè)人電腦(PC)到智能手機(jī)產(chǎn)品的尺寸越來越小、速度越來越快,價(jià)格也越來越便宜。

Penzes說:“目前的晶片面積仍然以很高的兩位數(shù)持續(xù)微縮,但在光罩背后所隱藏的成本增加,意味著實(shí)際的成本優(yōu)勢(shì)以及其他進(jìn)展正開始放緩......目前尚不清楚到了5nm時(shí)還能保有什么?!边@表示5nm節(jié)點(diǎn)很可能只是7nm的延伸。

來自Synopsys和三星(Samsung)的技術(shù)專家表示,當(dāng)今的FinFET電晶體版本應(yīng)該還能用于5nm節(jié)點(diǎn)。而當(dāng)進(jìn)展到低于3.5nm的寬度時(shí),F(xiàn)inFET將會(huì)達(dá)到極限。

新思科技研究人員兼電晶體專家Victor Moroz說,設(shè)計(jì)人員可能必須過渡到采用大約三層的橫向納米線堆疊,或稱為“納米矽板”(nano-slabs)。三星則宣布計(jì)劃使用閘極全環(huán)(GAA)電晶體以實(shí)現(xiàn)4nm制程,目標(biāo)是在2020年投入生產(chǎn)。

新思科技的Munoz表示,到了未來的技術(shù)節(jié)點(diǎn),間距微縮將減緩至每世代約0.8倍左右。這將迫使設(shè)計(jì)人員將7nm時(shí)雙鰭、6軌的228nm單元高度結(jié)構(gòu),在3nm和2nm時(shí)縮減到單鰭、5軌的130-100nm結(jié)構(gòu)。

他總結(jié)說,使用這種技術(shù),“矽晶似乎就能讓我們安全地微縮至2nm,而在那之后,我們可能就會(huì)開始使用石墨烯?!?/p>

然而,在最后的問答環(huán)節(jié)中,一位與會(huì)者對(duì)于這種單鰭5軌單元的結(jié)構(gòu)表示震驚。

新思科技描繪邁向2nm的通用開發(fā)藍(lán)圖(來源:Synopsys)

新思科技部門研發(fā)總監(jiān)Henry Sheng表示,更精細(xì)制程的復(fù)雜度迫使晶片設(shè)計(jì)師面對(duì)日益嚴(yán)苛的設(shè)計(jì)規(guī)則。例如,F(xiàn)inFET對(duì)于工程師必須追蹤的波形傳播、電遷移和元件變異帶來了新的效應(yīng)。但他也樂觀地認(rèn)為,“這些效應(yīng)最終都將得到解決”。

在這場(chǎng)座談會(huì)上的專家們認(rèn)為,成功最終將取決于代工廠、EDA和設(shè)計(jì)工程師之間越來越密切的合作。在邁向目標(biāo)進(jìn)行時(shí),高通公司認(rèn)為,為了獲得最佳產(chǎn)能,必須在生產(chǎn)開始之前對(duì)其先進(jìn)設(shè)計(jì)進(jìn)行調(diào)整,以及更清楚地定義制程節(jié)點(diǎn)。

“由于行動(dòng)處理器的競(jìng)爭(zhēng)非常激烈,代工廠導(dǎo)入的節(jié)點(diǎn)越來越不成熟,”Penzes說:“如果超出了利潤(rùn),那么平均單位成本就會(huì)上漲,而變得缺乏競(jìng)爭(zhēng)力?!?/p>

“現(xiàn)在,在了解單元的電氣特性之前,必須先掌握其環(huán)境,”他補(bǔ)充說。“即使是10%的變異也可能讓一個(gè)新節(jié)點(diǎn)的所有優(yōu)勢(shì)盡失,因此,以前存在的所有雜訊都必須克服?!?/p>

Penzes指出最近的一些開發(fā)工作為此帶來了希望。晶圓代工廠正在尋找以不同速率微縮各種單元的方法,而EDA供應(yīng)商也承諾改善布線,其方式可能是采用極紫外光微影(EUV)技術(shù)。

Moroz表示,工程師們也開始探索其他許多技術(shù),以降低金屬導(dǎo)線上的電阻率,從而為加速取得優(yōu)勢(shì)開啟大門。其方式包括新的結(jié)構(gòu),例如跨越多個(gè)金屬層的梯度和超導(dǎo)孔(super-vias),以及使用鈷(Co)和釕(Ru)等新材料。

為了說明未來即將面對(duì)的挑戰(zhàn),Moroz詳細(xì)闡述開發(fā)藍(lán)圖。

成功的恒久不變因素仍然是工程師有信心找到解決棘手問題的方法。

例如,三星承諾為搭配EUV的7nm制程制訂規(guī)范,并計(jì)劃在今年制造晶圓,不過它仍然在等待步進(jìn)器。Samsung Foundry設(shè)計(jì)支援副總裁Jongwook Kye在座談會(huì)上表示,“只要ASML能夠提供這些工具,我們就會(huì)開始投入大量制造。”。

同時(shí),三星也正在試圖為2020年的4nm生產(chǎn)定義新的電晶體。Kyle說:“這是我們?cè)谖磥韼啄陜?nèi)必須克服的挑戰(zhàn);只要能與工具供應(yīng)商和其他公司密切合作,我相信我們最終能實(shí)現(xiàn)目標(biāo)?!?/p>

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 高通
    +關(guān)注

    關(guān)注

    76

    文章

    7341

    瀏覽量

    189664
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26412

    瀏覽量

    210331
  • 晶片
    +關(guān)注

    關(guān)注

    1

    文章

    400

    瀏覽量

    31368

原文標(biāo)題:投入2納米制程值得嗎?

文章出處:【微信號(hào):Imgtec,微信公眾號(hào):Imagination Tech】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    消息稱三星電子再獲2nm訂單

    三星電子在半導(dǎo)體代工領(lǐng)域再下一城,成功獲得美國知名半導(dǎo)體企業(yè)安霸的青睞,承接其2nm制程的ADAS(高級(jí)駕駛輔助系統(tǒng))芯片代工項(xiàng)目。
    的頭像 發(fā)表于 09-12 16:26 ?304次閱讀

    臺(tái)積電3nm制程需求激增,全年?duì)I收預(yù)期上調(diào)

    臺(tái)積電近期迎來3nm制程技術(shù)的出貨高潮,預(yù)示著其在半導(dǎo)體制造領(lǐng)域的領(lǐng)先地位進(jìn)一步鞏固。隨著蘋果iPhone 16系列新機(jī)發(fā)布,預(yù)計(jì)搭載的A18系列處理器將采用臺(tái)積電
    的頭像 發(fā)表于 09-10 16:56 ?480次閱讀

    臺(tái)積電3nm/5nm工藝前三季度營(yíng)收破萬億新臺(tái)幣

    據(jù)臺(tái)媒DigiTimes最新報(bào)告,臺(tái)積電在2024年前三季度的業(yè)績(jī)表現(xiàn)強(qiáng)勁,僅憑其先進(jìn)的3nm5nm制程技術(shù),便實(shí)現(xiàn)了營(yíng)收突破1萬億新臺(tái)幣(折合人民幣約2237億元)的壯舉,這一成績(jī)
    的頭像 發(fā)表于 08-28 15:55 ?304次閱讀

    消息稱臺(tái)積電3nm/5nm將漲價(jià),終端產(chǎn)品受影響

    據(jù)業(yè)內(nèi)手機(jī)晶片領(lǐng)域的資深人士透露,臺(tái)積電計(jì)劃在明年1月1日起對(duì)旗下的先進(jìn)工藝制程進(jìn)行價(jià)格調(diào)整,特別是針對(duì)3nm5nm工藝制程,而其他工藝制程
    的頭像 發(fā)表于 07-04 09:22 ?493次閱讀

    日本Rapidus計(jì)劃2025年啟動(dòng)2nm制程測(cè)試工廠

    近日,日本Rapidus公司CEO Atsuyoshi Koike透露,該公司的2nm制程測(cè)試工廠將于2025年4月正式啟動(dòng)。這一里程碑式的進(jìn)展,標(biāo)志著日本在半導(dǎo)體產(chǎn)業(yè)振興之路上又邁出
    的頭像 發(fā)表于 06-21 09:32 ?280次閱讀

    三星電子:加快2nm3D半導(dǎo)體技術(shù)發(fā)展,共享技術(shù)信息與未來展望

    技術(shù)研發(fā)領(lǐng)域,三星電子的3nm2nm工藝取得顯著進(jìn)步,預(yù)計(jì)本季度內(nèi)完成2nm設(shè)計(jì)基礎(chǔ)設(shè)施的開發(fā);此外,4nm工藝的良率亦逐漸穩(wěn)定。
    的頭像 發(fā)表于 04-30 16:16 ?373次閱讀

    三星電子澄清:3nm芯片并非更名2nm,下半年將量產(chǎn)

    李時(shí)榮聲稱,“客戶對(duì)代工企業(yè)的產(chǎn)品競(jìng)爭(zhēng)力與穩(wěn)定供應(yīng)有嚴(yán)格要求,而4nm工藝已步入成熟良率階段。我們正積極籌備后半年第二代3nm工藝及明年2nm工藝的量產(chǎn),并積極與潛在客戶協(xié)商?!?/div>
    的頭像 發(fā)表于 03-21 15:51 ?467次閱讀

    臺(tái)積電擴(kuò)增3nm產(chǎn)能,部分5nm產(chǎn)能轉(zhuǎn)向該節(jié)點(diǎn)

    目前,蘋果、高通、聯(lián)發(fā)科等世界知名廠商已與臺(tái)積電能達(dá)成緊密合作,預(yù)示臺(tái)積電將繼續(xù)增加 5nm產(chǎn)能至該節(jié)點(diǎn)以滿足客戶需求,這標(biāo)志著其在3nm制程領(lǐng)域已經(jīng)超越競(jìng)爭(zhēng)對(duì)手三星及英特爾。
    的頭像 發(fā)表于 03-19 14:09 ?481次閱讀

    臺(tái)積電在2nm制程技術(shù)上展開防守策略

    臺(tái)積電的2nm技術(shù)3nm技術(shù)的延續(xù)。一直以來,臺(tái)積電堅(jiān)定地遵循著每一步一個(gè)工藝節(jié)點(diǎn)的演進(jìn)策略,穩(wěn)扎穩(wěn)打,不斷突破。
    發(fā)表于 01-25 14:14 ?350次閱讀

    美滿電子推出5nm3nm、2nm技術(shù)支持的數(shù)據(jù)基礎(chǔ)設(shè)施新品

    該公司的首席開發(fā)官Sandeep Bharathi透露,其實(shí)施2nm相關(guān)的投資計(jì)劃已啟動(dòng)。雖無法公布準(zhǔn)確的工藝和技術(shù)細(xì)節(jié),但已明確表示,25nm
    的頭像 發(fā)表于 01-24 10:24 ?477次閱讀

    2nm意味著什么?2nm何時(shí)到來?它與3nm有何不同?

    3nm工藝剛量產(chǎn),業(yè)界就已經(jīng)在討論2nm了,并且在調(diào)整相關(guān)的時(shí)間表。2nm工藝不僅對(duì)晶圓廠來說是一個(gè)重大挑戰(zhàn),同樣也考驗(yàn)著EDA公司,以及在此基礎(chǔ)上設(shè)計(jì)芯片的客戶。
    的頭像 發(fā)表于 12-06 09:09 ?2023次閱讀

    全球首顆3nm電腦來了!蘋果Mac電腦正式進(jìn)入3nm時(shí)代

    前兩代M1和M2系列芯片均采用5nm制程工藝,而M3系列芯片的發(fā)布,標(biāo)志著蘋果Mac電腦正式進(jìn)入3nm時(shí)代。
    發(fā)表于 11-07 12:39 ?533次閱讀
    全球首顆<b class='flag-5'>3nm</b>電腦來了!蘋果Mac電腦正式進(jìn)入<b class='flag-5'>3nm</b>時(shí)代

    2nm芯片什么時(shí)候出 2nm芯片手機(jī)有哪些

    2nm芯片什么時(shí)候出 2nm芯片什么時(shí)候出這個(gè)問題目前沒有相關(guān)官方的報(bào)道,因此無法給出準(zhǔn)確的回答。根據(jù)網(wǎng)上的一些消息臺(tái)積電于6月16日在2022年度北美技術(shù)論壇上首次宣布,將推出下一代先進(jìn)工藝
    的頭像 發(fā)表于 10-19 17:06 ?1290次閱讀

    2nm芯片是什么意思 2nm芯片什么時(shí)候量產(chǎn)

    可以容納更多的晶體管在同樣的芯片面積上,從而提供更高的集成度和處理能力。此外,較小的節(jié)點(diǎn)尺寸還可以降低電路的功耗,提供更高的能效??梢哉f,2nm芯片代表了制程工藝的最新進(jìn)展和技術(shù)創(chuàng)新。 2nm
    的頭像 發(fā)表于 10-19 16:59 ?4470次閱讀

    2nm芯片工藝有望破冰嗎?

    芯片2nm
    億佰特物聯(lián)網(wǎng)應(yīng)用專家
    發(fā)布于 :2023年10月11日 14:52:41