0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

多路選擇器有哪些_多路選擇器分類介紹

姚小熊27 ? 來源:網(wǎng)絡(luò)整理 ? 2018-04-27 09:13 ? 次閱讀

多路選擇器的分類

多路選擇器也稱數(shù)據(jù)選擇器。常見分類有4選1數(shù)據(jù)選擇器、8選1數(shù)據(jù)選擇器(型號為74151、74LS151、74251、74LS152)、16選1數(shù)據(jù)選擇器(可以用兩片74151連接起來構(gòu)成)等之分。多路選擇器還包括總線的多路選擇,模擬信號的多路選擇等,另外相應(yīng)的器件也有不同的特性和使用方法。

多路選擇器有哪些_多路選擇器分類介紹

多路選擇器的4選1原理圖

圖所示的是四選一多路選擇器的原理圖。圖中的D0、D1、D2、D3是四個數(shù)據(jù)輸入端,Y為輸出端,A1、A0是地址輸入端。從表中可見,利用指定A1A0的代碼,能夠從D0、D1、D2、D3這四個輸入數(shù)據(jù)中選出任何一個并送到輸出端。因此,用數(shù)據(jù)選擇器可以實現(xiàn)數(shù)據(jù)的多路分時傳送。

此外,多路選擇器還廣泛用于產(chǎn)生任意一種組合邏輯函數(shù)。

多路選擇器有哪些_多路選擇器分類介紹

如果把A1、A0視為兩個輸入邏輯變量,同時把D0、D1、D2和D3取為第三個輸入邏輯變量A2的不同狀態(tài)(即A2、/A2、1或0),便可產(chǎn)生所需要的任何一種三變量A2、A1、A0的組合邏輯函數(shù)??梢?,利用具有n位地址輸入的多路選擇器可以產(chǎn)生任何一種輸入變量數(shù)不大于n +1的組合邏輯函數(shù)。

多路選擇器典型芯片

典型中規(guī)模多路選擇器有雙4路數(shù)據(jù)選擇器74153,其引腳排列圖和邏輯符號如圖1(a)、(b)所示。

多路選擇器有哪些_多路選擇器分類介紹

數(shù)據(jù)選擇器74153芯片含兩個4路數(shù)據(jù)選擇器,每個選擇器接收4路數(shù)據(jù)輸入,產(chǎn)生一個輸出,兩個4路數(shù)據(jù)選擇器共用兩個選擇變量。芯片有16條引線,其中1D0~1D3,2D0~2D3為8條數(shù)據(jù)輸入線,A1和A0為選擇輸入線,1Y、2Y為2條輸出線,1G、2G為使能控制端,另外有1條電源線和1條地線。

4路數(shù)據(jù)選擇器的輸出函數(shù)表達(dá)式為

多路選擇器有哪些_多路選擇器分類介紹

式中,mi為選擇變量構(gòu)成的最小項。

多路選擇器工作方式

工作原理是 你給A1A0一組信號 比如1 0 那么就相當(dāng)于給了他一個2進(jìn)制數(shù)字2 也就相當(dāng)于選通了D2這個輸入端

這個時候 輸出Y 輸出的就是D2的信號

D2是啥 Y就輸出啥

多路選擇器有哪些_多路選擇器分類介紹

多路選擇器在長距離傳輸中的應(yīng)用

在下面這個例子中,要完成2位BCD數(shù)從甲地到乙地的傳輸并能顯示這2個數(shù)。

如圖1所示,2位十進(jìn)制數(shù)(BCD碼)B3B2B1B0(十位)和A3A2A1A0(個位)分別進(jìn)入74LS157的2個數(shù)據(jù)輸入端,數(shù)據(jù)選擇輸入端G1接30Hz的方波。

在方波為低電平時,G1=0,數(shù)A(A3A2A1A0)被多路選擇器選中,從輸出端正輸出,七段譯碼器74LS48(輸出高電平有效)接收此BCD碼后譯碼輸出同時送給2位共陰極七段數(shù)碼顯示器,2位數(shù)碼顯示通過74LS139來控制共陰極端的電平,從而使右邊數(shù)碼顯示A數(shù),左邊數(shù)碼不顯示;當(dāng)方波為高電平時,G1=1,數(shù)B(B3B2B1B0)被數(shù)據(jù)選擇器送入七段譯碼器,而此時74LS139控制左邊的數(shù)碼顯示器顯示B數(shù),而右邊的數(shù)碼顯示器則不顯示。雖然2個數(shù)交替顯示,由于方波頻率較高,視覺上看到的是2個數(shù)同時顯示。

多路選擇器有哪些_多路選擇器分類介紹

多路選擇器在長距離傳輸中的應(yīng)用

可以看出 ,由于使用多路選擇器 ,使甲乙兩地本來由8 根線完成的數(shù)據(jù)傳輸減少了一半連線 ,另外還省去了一個七段譯碼器。當(dāng)要圖 1 傳送的數(shù)據(jù)位數(shù)較多時 ,通過選擇合適的數(shù)據(jù)選擇器可省去更多的連線和譯碼器。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 多路選擇器
    +關(guān)注

    關(guān)注

    1

    文章

    22

    瀏覽量

    6487
收藏 人收藏

    評論

    相關(guān)推薦

    一文解析多路選擇器的工作原理及電路實現(xiàn)

    本文開始介紹多路選擇器的概念和在FPGA中多路選擇器結(jié)構(gòu),其次介紹
    的頭像 發(fā)表于 04-27 08:46 ?5.9w次閱讀
    一文解析<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>的工作原理及電路實現(xiàn)

    數(shù)據(jù)選擇器

    。具體來說,在多路數(shù)據(jù)傳送過程中,能夠根據(jù)需要將其中任意一路選出來的電路,叫做數(shù)據(jù)選擇器,也稱多路選擇器多路開關(guān)。用途是什么呢?它是一種通
    發(fā)表于 05-30 17:38

    請問stm32控制4選1多路選擇器的程序嗎

    求大神提供stm32控制4選1多路選擇器的程序參考,單片機(jī)9,10引腳控制多路選擇器
    發(fā)表于 01-16 06:35

    EDA四選一多路選擇器的設(shè)計

    多路選擇器(又稱為數(shù)據(jù)選擇器)①功能在選擇變量控制下,從多路輸入數(shù)據(jù)中選中某一路數(shù)據(jù)送至輸出端。對于一個具有2n個輸入和1個輸出的
    發(fā)表于 04-12 09:17

    4選1多路選擇器是什么?

    前言隨著人工智能的不斷發(fā)展,機(jī)器學(xué)習(xí)這門技術(shù)也越來越重要,很多人都開啟了學(xué)習(xí)機(jī)器學(xué)習(xí),本文就介紹了機(jī)器學(xué)習(xí)的基礎(chǔ)內(nèi)容。提示:以下是本篇文章正文內(nèi)容,用always塊、連續(xù)賦值assign和門級原語三種方法完成同一功能一、4選1多路選擇器
    發(fā)表于 02-09 06:00

    基于FPGA的多路選擇器設(shè)計(附代碼)

    開關(guān)。 · 二選一多路選擇器 二選一多路選擇器的數(shù)據(jù)輸入兩個,分別為dataa和datab。為了能夠確定
    發(fā)表于 03-01 17:10

    譯碼多路選擇器的使用( EDA 仿真) 實驗

    譯碼多路選擇器的使用( EDA 仿真) 實驗 一、實驗?zāi)康?. 掌握Multisim電子電路仿真軟件的使用,并能進(jìn)行
    發(fā)表于 02-06 14:11 ?6083次閱讀
    譯碼<b class='flag-5'>器</b>及<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>的使用( EDA 仿真) 實驗

    4選1多路選擇器電路圖(四款多路選擇器電路)

    本文主要介紹了四款4選1多路選擇器電路圖。多路選擇器是數(shù)據(jù)選擇器的別稱。在
    發(fā)表于 04-27 09:37 ?13.7w次閱讀
    4選1<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>電路圖(四款<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>電路)

    設(shè)計一個1位的二選一多路選擇器及其VHDL描述

    本文首先介紹了二選一多路選擇器真值表,其次介紹了1位二選一多路選擇器設(shè)計及其VHDL描述,最后
    的頭像 發(fā)表于 04-27 09:52 ?3w次閱讀
    設(shè)計一個1位的二選一<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>及其VHDL描述

    eda四選一多路選擇器的設(shè)計

    本文開始對多路選擇器進(jìn)行了詳細(xì)介紹,其中包括了多路選擇器功能、典型芯片及應(yīng)用,另外還詳細(xì)介紹了e
    發(fā)表于 04-27 10:13 ?3.3w次閱讀
    eda四選一<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>的設(shè)計

    8選1多路選擇器電路圖(五款8選1多路選擇器電路)

    多路選擇器又稱數(shù)據(jù)選擇器。8選1數(shù)據(jù)選擇器(型號74151、74LS151、74251、74LS152),下面就以74LS151為例子,
    發(fā)表于 04-28 17:25 ?12.6w次閱讀
    8選1<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>電路圖(五款8選1<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>電路)

    Verilog HDL之多路選擇器設(shè)計

    在數(shù)字信號的傳輸過程中,有時需要從多路輸入數(shù)據(jù)中選出某一路數(shù)據(jù),完成此功能的邏輯器件稱為數(shù)據(jù)選擇器,即所謂多路開關(guān),簡稱MUX(Multiplexer)。2選1多路
    發(fā)表于 07-20 08:56 ?4256次閱讀
    Verilog HDL之<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>設(shè)計

    什么是選擇器 CSS選擇器哪些

    什么是選擇器呢?每一條css樣式定義由兩部分組成,形式如下: [code] 選擇器{樣式} [/code] 在{}之前的部分就是“選擇器”。 “選擇器”指明了{(lán)}中的“樣式”的作用對象
    的頭像 發(fā)表于 07-31 15:31 ?7322次閱讀

    寫出一個包含觸發(fā)多路選擇器的子模塊

    我們用3個包含觸發(fā)多路選擇器的子模塊來實現(xiàn)圖中電路。題目要求我們寫出包含一個觸發(fā)和一個多路選擇器
    的頭像 發(fā)表于 11-17 09:37 ?949次閱讀

    基于FPGA的多路選擇器設(shè)計

    組合邏輯電路的輸出信號只與當(dāng)前時刻的輸入信號有關(guān),與其他時刻的輸入狀態(tài)無關(guān),無存儲電路或反饋電路。多路選擇器是在多路數(shù)據(jù)傳送過程中,根據(jù)需要選擇一條電路。如果還沒看懂功能,結(jié)合真值表就
    的頭像 發(fā)表于 05-12 12:47 ?1259次閱讀
    基于FPGA的<b class='flag-5'>多路</b><b class='flag-5'>選擇器</b>設(shè)計