0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一文詳解FPGA的圖像處理技術(shù)

電子工程師 ? 作者:工程師a ? 2018-05-06 01:04 ? 次閱讀

基于軟件的圖像處理方法存在著一些局限性,尤其是計(jì)算速度和算法效率方面。所以大家很自然的就想到了FPGA作為嵌入式圖像應(yīng)用的平臺(tái)。許多圖像處理本身就是并行計(jì)算的,并且FPGA的編程硬件,本質(zhì)上也是并行的。但是利用FPGA硬件進(jìn)行圖像處理存在很多的困難,需要學(xué)到很多的技巧。下面我介紹兩幾種比較基礎(chǔ)的圖像處理算法思想。

單幅圖像的點(diǎn)操作是圖像處理中最簡(jiǎn)單的操作,輸出值只取決于輸入值,與位置無關(guān),可以看作是一個(gè)函數(shù)的映射。從硬件實(shí)現(xiàn)的角度來說,最簡(jiǎn)單的方式就是通過一個(gè)實(shí)現(xiàn)函數(shù)的模塊對(duì)輸入的每個(gè)像素進(jìn)行依次處理,也就是流水化處理。每個(gè)像素都是單獨(dú)處理的,可以把圖像分為若干部分,每個(gè)部分單獨(dú)處理,所以點(diǎn)操作容易并行實(shí)現(xiàn)。點(diǎn)操作可作為讀取圖像和后續(xù)處理之間的一個(gè)橋梁。A:亮度調(diào)節(jié);為了使圖像變亮,可以增大輸出像素值,可以通過加一個(gè)常量實(shí)現(xiàn)。類似地,變暗減小像素值。但是實(shí)際中,調(diào)節(jié)亮度要復(fù)雜的多,因?yàn)槿说囊曈X系統(tǒng)是非線性的。B:對(duì)比度調(diào)節(jié);圖像的對(duì)比度受映射函數(shù)的斜率影響。斜率大于1增強(qiáng),小于1則降低,可以通過乘以一個(gè)大于或者小于1的常數(shù)實(shí)現(xiàn)。C:同時(shí)調(diào)節(jié)亮度和對(duì)比度;一個(gè)簡(jiǎn)單的調(diào)節(jié)它們的點(diǎn)操作是:Q=aI+b=a(I+b’),a,b是控制亮度和對(duì)比度的任意常數(shù)。當(dāng)Q超出范圍怎么辦?例如用8位表示像素值時(shí),Q超出0~255,那么輸出怎么辦?默認(rèn)情況下只取8位最低有效位并且忽略任何會(huì)導(dǎo)致值超出范圍的溢出位。通常還需要進(jìn)行飽和或者裁剪到極值效果會(huì)更好。

直方圖操作。使用直方圖的圖像處理有兩個(gè)相關(guān)的主要步驟。第一步是建立直方圖,第二步是從直方圖中提取數(shù)據(jù)并用它來處理圖像。A建立直方圖:對(duì)每個(gè)像素值累計(jì)計(jì)數(shù)。通過計(jì)數(shù)器數(shù)組完成計(jì)算每個(gè)像素值出現(xiàn)的次數(shù)。這個(gè)方法的缺點(diǎn)是占用的硬件資源比較多,適合閾值后的直方圖計(jì)算??梢允褂秒p口存儲(chǔ)器實(shí)現(xiàn),可以大大減少邏輯資源的使用。B直方圖均衡化(使用局部信息來分配那些在輸出像素值的范圍上具有大的計(jì)數(shù)值的輸入值的像素來獲得更平坦的直方圖):實(shí)現(xiàn)直方圖均衡化的映射是歸一化累積直方圖。直觀地,如果輸入點(diǎn)集合的計(jì)數(shù)值大于平均值,那么映射的斜率大于1,反之,小于1。

局部濾波器。局部濾波器擴(kuò)展點(diǎn)操作,以一個(gè)局部窗口內(nèi)像素值的函數(shù)運(yùn)算結(jié)果作為輸出。窗口的大小、形狀可以隨意,但是一般都是采用奇數(shù)正方形的,我見過最多的就是3x3,5x5,7x7,這樣的話中心就很容易確定。局部濾波器有去噪、邊緣檢測(cè)、邊緣增強(qiáng)等。線性去噪有排序去噪,均值去噪,加權(quán)均值去噪等,邊緣檢測(cè)可以利用Prewitt,Sobel算子等,將這些算法在3x3窗口中實(shí)現(xiàn),相對(duì)來說就比較容易了。也可以改進(jìn)這些算法,是寫小論文比較好的創(chuàng)新點(diǎn)。還有一些形態(tài)學(xué)濾波器,顏色濾波器,大致思想都一樣,就是實(shí)現(xiàn)的時(shí)候算法改一下。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598901
  • 圖像處理技術(shù)
    +關(guān)注

    關(guān)注

    0

    文章

    30

    瀏覽量

    10046
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    張工教你FPGA圖像處理技術(shù)

    本帖最后由 enlinux123 于 2014-11-7 16:41 編輯 想?yún)⒓?b class='flag-5'>技術(shù)培訓(xùn)學(xué)習(xí)可以加張工2232894713最近段時(shí)間直在研究基于FPGA
    發(fā)表于 11-05 09:50

    FPGA圖像處理技術(shù),你知道多少?

     最近段時(shí)間直在研究基于FPGA圖像處理,乘著這個(gè)機(jī)會(huì)和大家交流下,自己也順便總結(jié)
    發(fā)表于 03-20 11:22

    FPGA圖像處理技術(shù),你知道多少?

     最近段時(shí)間直在研究基于FPGA圖像處理,乘著這個(gè)機(jī)會(huì)和大家交流下,自己也順便總結(jié)
    發(fā)表于 03-20 11:22

    詳解:LabVIEW 圖像處理需要哪些軟件!!!

    詳解:LabVIEW 圖像處理需要哪些軟件!!!
    發(fā)表于 04-04 11:49

    FPGA圖像處理技術(shù),你知道多少?

    最近段時(shí)間直在研究基于FPGA圖像處理,乘著這個(gè)機(jī)會(huì)和大家交流下,自己也順便總結(jié)
    發(fā)表于 04-21 14:25

    基于DSP和FPGA的通用圖像處理平臺(tái)設(shè)計(jì)

    設(shè)計(jì)種基于DSP和FPGA架構(gòu)的通用圖像處理平臺(tái),運(yùn)用FPGA實(shí)現(xiàn)微處理器接口設(shè)計(jì),并對(duì)
    發(fā)表于 12-25 17:06 ?61次下載

    基于DSP和FPGA的通用圖像處理平臺(tái)設(shè)計(jì)

    基于DSP和FPGA的通用圖像處理平臺(tái)設(shè)計(jì) 摘要:設(shè)計(jì)種基于DSP和FPGA架構(gòu)的通用圖像
    發(fā)表于 02-01 11:10 ?1447次閱讀
    基于DSP和<b class='flag-5'>FPGA</b>的通用<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>平臺(tái)設(shè)計(jì)

    基于FPGA圖像采集與處理

    基于FPGA圖像采集與處理,是個(gè)適合初學(xué)者學(xué)習(xí)的書籍。 內(nèi)容詳細(xì)完整。
    發(fā)表于 03-04 15:48 ?50次下載

    FPGA圖像處理

    FPGA圖像處理
    發(fā)表于 12-14 22:29 ?19次下載

    略談FPGA圖像處理

    FPGA圖像處理之路,從此開始,接下來,讓我們把時(shí)間交給“圖像處理”。休哥在動(dòng)筆之前,
    發(fā)表于 05-09 17:05 ?4090次閱讀

    FPGA中如何使用Verilog處理圖像

    的完整 Verilog 代碼 。 在這個(gè)FPGA Verilog項(xiàng)目中,些簡(jiǎn)單的處理操作都是在Verilog中實(shí)現(xiàn)的,比如反相、亮度控制和閾值操作。圖像
    的頭像 發(fā)表于 09-23 15:50 ?5786次閱讀

    詳解精密封裝技術(shù)

    詳解精密封裝技術(shù)
    的頭像 發(fā)表于 12-30 15:41 ?1523次閱讀

    FPGA學(xué)習(xí)-基于FPGA圖像處理

    圖像處理的算法中,大部分需要采用 浮點(diǎn)數(shù) 運(yùn)算,而浮點(diǎn)數(shù)運(yùn)算再FPGA中是非常不劃算的,因此需要轉(zhuǎn)換成定點(diǎn)數(shù)計(jì)算,此時(shí)會(huì)設(shè)計(jì)到浮點(diǎn)運(yùn)算轉(zhuǎn)定點(diǎn)運(yùn)算時(shí)精度下降的問題。 3.軟件和硬件的合理劃分 這里的軟件是指DSP,CPU,硬件是
    的頭像 發(fā)表于 02-15 16:35 ?1112次閱讀

    基于FPGA搭建個(gè)通用的圖像處理平臺(tái)

    本文介紹如何搭建個(gè)通用的圖像處理平臺(tái),采用HDMI接口進(jìn)行輸入、輸出,可用于測(cè)試基于HLS的FPGA圖像
    的頭像 發(fā)表于 09-04 18:20 ?1947次閱讀
    基于<b class='flag-5'>FPGA</b>搭建<b class='flag-5'>一</b>個(gè)通用的<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>平臺(tái)

    FPGA圖像處理方法

    圖像在采集和傳輸?shù)倪^程中,通常會(huì)產(chǎn)生噪聲,使圖像質(zhì)量降低,影響后續(xù)處理。因此須對(duì)圖像進(jìn)行圖像
    的頭像 發(fā)表于 12-02 13:15 ?911次閱讀