0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

同步和異步時(shí)鐘之間是如何聯(lián)系_如何正確的約束時(shí)鐘

電子工程師 ? 作者:工程師a ? 2018-05-12 10:15 ? 次閱讀

現(xiàn)在的硬件設(shè)計(jì)中,大量的時(shí)鐘之間彼此相互連接是很典型的現(xiàn)象。為了保證Vivado優(yōu)化到關(guān)鍵路徑,我們必須要理解時(shí)鐘之間是如何相互作用,也就是同步和異步時(shí)鐘之間是如何聯(lián)系。

同步時(shí)鐘是彼此聯(lián)系的時(shí)鐘。例如,由MMCM或PLL生成的兩個(gè)相同周期的時(shí)鐘是典型的同步時(shí)鐘。如果MMCM或PLL生成了不同周期的時(shí)鐘,那么我們最好把他們當(dāng)作異步時(shí)鐘處理,需要用到相應(yīng)的同步技術(shù)。你可以通過(guò)運(yùn)行report_clock_interaction生成報(bào)告,然后看報(bào)告中的“Path Req (WNS)”列、“Clock Pair Classification”列和 “Clock Pair Classification”列可以容易的辨別出同步時(shí)鐘。下面是3個(gè)場(chǎng)景,你需要使用合適的時(shí)鐘約束處理異步時(shí)鐘之間的關(guān)系。

1. 如果時(shí)鐘互聯(lián)報(bào)告有很多(或者一個(gè))紅色的"Timed (unsafe)" 或者還有桔色的"Partial False Path (unsafe)"方框,那你應(yīng)該是沒(méi)有正確地對(duì)異步時(shí)鐘約束。如果你的設(shè)計(jì)中有大量的跨時(shí)鐘域的異步時(shí)鐘,那么你需要對(duì)那些時(shí)鐘互聯(lián)約束。

2. 在時(shí)鐘互聯(lián)報(bào)告中看"Clock Pair Classification" 和 "Inter-Clock Constraints"這兩列。如果時(shí)鐘對(duì)類(lèi)型是"No Common Clock" 或者 "No Common Period"或者Inter-clock約束顯示"Timed (unsafe)",就要把這種互聯(lián)當(dāng)作異步時(shí)鐘。

3. 如果“Path Requirement (WNS)”列顯示時(shí)序非常緊,典型的是小于1ns,或者“Inter-Clock Constraints”列標(biāo)記為時(shí)序“Unsafe”,或者“Partial False Path (unsafe)”,那么你需要把這種時(shí)鐘互聯(lián)看作異步時(shí)鐘。

如果“WNS Path Requirement (ns)”這一列的值是合理的(>1 ns), 并且"Inter-Clock Constraints”是滿足的,同時(shí),“Clock Pair Classification”是“干凈”的,那么這樣的時(shí)鐘互聯(lián)可以被看作是同步的,你不需要添加任何時(shí)序約束。時(shí)序工具會(huì)自動(dòng)的把那些路徑當(dāng)作同步路徑處理。

同步和異步時(shí)鐘之間是如何聯(lián)系_如何正確的約束時(shí)鐘

為了正確約束跨時(shí)鐘域的路徑,需要考慮以下4個(gè)方面:

1. 如果兩個(gè)時(shí)鐘之間沒(méi)有路徑,在兩個(gè)時(shí)鐘之間可以簡(jiǎn)單的使用set_clock_groups 或者set_false_path約束

2. 如果跨時(shí)鐘域路徑都是一位的,那么你可以在兩個(gè)時(shí)鐘之間使用set_clock_groups 或者 set_false_path。

3. 如果路徑都是多位的路徑,你需要考慮延遲和數(shù)據(jù)位的偏移,然后使用set_max_delay –datapath_only 和set_bus_skew約束。

4. 如果在兩個(gè)時(shí)鐘域之間存在單位和多位混合的跨時(shí)鐘域路徑,那么對(duì)于單位的跨時(shí)鐘域路徑要明確的對(duì)每一條路徑設(shè)置偽路徑來(lái)約束,對(duì)于多位的跨時(shí)鐘域路徑,使用set_max_delay –datapath_only 和 set_bus_skew約束。

如果時(shí)鐘是同步的,不需要任何約束。vivado的靜態(tài)時(shí)鐘分析工具會(huì)自動(dòng)的設(shè)定路徑的時(shí)序。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 異步時(shí)鐘
    +關(guān)注

    關(guān)注

    0

    文章

    17

    瀏覽量

    9394
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    RobustRIO-E模塊 時(shí)鐘同步&分發(fā),實(shí)現(xiàn)聲音與振動(dòng)板卡間及跨機(jī)箱時(shí)鐘同步

    同步時(shí)鐘發(fā)生器 + 同步時(shí)鐘分發(fā)器
    的頭像 發(fā)表于 09-14 15:00 ?146次閱讀
    RobustRIO-E模塊 <b class='flag-5'>時(shí)鐘</b><b class='flag-5'>同步</b>&分發(fā),實(shí)現(xiàn)聲音與振動(dòng)板卡間及跨機(jī)箱<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>同步</b>

    請(qǐng)問(wèn)下位機(jī)與上位機(jī)如何保持時(shí)鐘同步呢?

    請(qǐng)問(wèn)下位機(jī)與上位機(jī)如何保持時(shí)鐘同步呢? 下位機(jī)與上位機(jī)之間時(shí)鐘同步是確保兩者能夠按照相同的時(shí)間基準(zhǔn)進(jìn)行操作的關(guān)鍵。在許多實(shí)時(shí)控制和嵌入式系
    的頭像 發(fā)表于 01-16 17:11 ?1120次閱讀

    網(wǎng)絡(luò)時(shí)鐘同步有哪些要求?如何在5G網(wǎng)絡(luò)中測(cè)試時(shí)間與時(shí)鐘同步?

    網(wǎng)絡(luò)時(shí)鐘同步有哪些要求?要注意哪些問(wèn)題?如何在5G網(wǎng)絡(luò)中測(cè)試時(shí)間與時(shí)鐘同步? 網(wǎng)絡(luò)時(shí)鐘同步是指在
    的頭像 發(fā)表于 01-16 16:03 ?980次閱讀

    時(shí)鐘同步怎樣組網(wǎng)?

    時(shí)鐘同步怎樣組網(wǎng)? 時(shí)鐘同步是計(jì)算機(jī)網(wǎng)絡(luò)中的重要問(wèn)題,主要用于確保在多個(gè)節(jié)點(diǎn)之間保持時(shí)間的一致性。時(shí)鐘
    的頭像 發(fā)表于 01-16 15:10 ?434次閱讀

    如何生成關(guān)于時(shí)鐘同步功能的DTC?

    出現(xiàn)問(wèn)題,可能導(dǎo)致設(shè)備之間的通信不準(zhǔn)確,任務(wù)執(zhí)行時(shí)序不一致,從而影響系統(tǒng)的性能和穩(wěn)定性。 為了解決時(shí)鐘同步的問(wèn)題,診斷故障碼(DTC)被設(shè)計(jì)出來(lái),用來(lái)指示系統(tǒng)中存在的問(wèn)題。生成關(guān)于時(shí)鐘
    的頭像 發(fā)表于 01-16 15:10 ?423次閱讀

    USB設(shè)備之間是怎么同步時(shí)鐘的?所有USB設(shè)備的時(shí)鐘頻率都是一致的嗎?

    同步機(jī)制來(lái)保證設(shè)備之間的數(shù)據(jù)傳輸能夠正確進(jìn)行。 USB設(shè)備的時(shí)鐘同步主要涉及到兩個(gè)方面,即主機(jī)和設(shè)備之間
    的頭像 發(fā)表于 01-16 14:42 ?1704次閱讀

    異步電路中的時(shí)鐘同步處理方法

    異步電路中的時(shí)鐘同步處理方法? 時(shí)鐘同步異步電路中是至關(guān)重要的,它確保了電路中的各個(gè)部件在
    的頭像 發(fā)表于 01-16 14:42 ?828次閱讀

    控制系統(tǒng)之間如何實(shí)現(xiàn)時(shí)鐘同步?

    控制系統(tǒng)之間如何實(shí)現(xiàn)時(shí)鐘同步? 控制系統(tǒng)之間時(shí)鐘同步是確保不同系統(tǒng)
    的頭像 發(fā)表于 01-16 14:37 ?713次閱讀

    異步電路和同步電路區(qū)別在哪?

    部分是獨(dú)立運(yùn)行的,沒(méi)有明確定義的時(shí)鐘信號(hào)來(lái)同步它們的操作。相反,每個(gè)部分在滿足特定的條件下單獨(dú)啟動(dòng)和運(yùn)行,通過(guò)相互之間的通信來(lái)完成所需的協(xié)作。異步電路通常采用握手協(xié)議來(lái)確保數(shù)據(jù)的
    的頭像 發(fā)表于 12-07 10:53 ?2547次閱讀

    同步異步通信協(xié)議介紹

    同步異步傳輸歸結(jié)為時(shí)鐘是外部的(同步)還是內(nèi)部的(異步)。異步協(xié)議的一些例子包括UART、US
    發(fā)表于 11-27 15:39 ?1332次閱讀
    <b class='flag-5'>同步</b>和<b class='flag-5'>異步</b>通信協(xié)議介紹

    IC設(shè)計(jì):ram的應(yīng)用-異步時(shí)鐘域位寬轉(zhuǎn)換

    在進(jìn)行模塊設(shè)計(jì)時(shí),我們經(jīng)常需要進(jìn)行數(shù)據(jù)位寬的轉(zhuǎn)換,常見(jiàn)的兩種轉(zhuǎn)換場(chǎng)景有同步時(shí)鐘域位寬轉(zhuǎn)換和異步時(shí)鐘域位寬轉(zhuǎn)換。本文將介紹異步
    的頭像 發(fā)表于 11-23 16:41 ?648次閱讀
    IC設(shè)計(jì):ram的應(yīng)用-<b class='flag-5'>異步</b><b class='flag-5'>時(shí)鐘</b>域位寬轉(zhuǎn)換

    什么是同步邏輯和異步邏輯?同步電路與異步電路有何區(qū)別?

    統(tǒng)一的時(shí)鐘信號(hào)的驅(qū)動(dòng)下進(jìn)行操作,而異步邏輯是指電路中的各個(gè)組件根據(jù)輸入信號(hào)的條件自主進(jìn)行操作,不受統(tǒng)一的時(shí)鐘信號(hào)控制。 同步邏輯和異步邏輯的
    的頭像 發(fā)表于 11-17 14:16 ?1953次閱讀

    同步FIFO和異步FIFO的區(qū)別 同步FIFO和異步FIFO各在什么情況下應(yīng)用

    簡(jiǎn)單的一種,其特點(diǎn)是輸入和輸出都與時(shí)鐘信號(hào)同步,當(dāng)時(shí)鐘到來(lái)時(shí),數(shù)據(jù)總是處于穩(wěn)定狀態(tài),因此容易實(shí)現(xiàn)數(shù)據(jù)的傳輸和存儲(chǔ)。 而異步FIFO則是在波形的上升沿和下降沿上進(jìn)行處理,在輸入輸出端口處
    的頭像 發(fā)表于 10-18 15:23 ?1462次閱讀

    為什么異步fifo中讀地址同步在寫(xiě)時(shí)鐘域時(shí)序分析不通過(guò)?

    為什么異步fifo中讀地址同步在寫(xiě)時(shí)鐘域時(shí)序分析不通過(guò)? 異步FIFO中讀地址同步在寫(xiě)時(shí)鐘域時(shí)序
    的頭像 發(fā)表于 10-18 15:23 ?566次閱讀

    時(shí)鐘信號(hào)的同步 在數(shù)字電路里怎樣讓兩個(gè)不同步時(shí)鐘信號(hào)同步?

    時(shí)鐘信號(hào)的同步 在數(shù)字電路里怎樣讓兩個(gè)不同步時(shí)鐘信號(hào)同步? 在數(shù)字電路中,時(shí)鐘信號(hào)的
    的頭像 發(fā)表于 10-18 15:23 ?1278次閱讀