0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用FPGA DIY開發(fā)板控制實現(xiàn)LED流水燈功能顯示

英特爾 Altera視頻 ? 2018-06-11 00:03 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598937
  • led
    led
    +關(guān)注

    關(guān)注

    240

    文章

    22907

    瀏覽量

    650125
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    772

    瀏覽量

    153671
  • DIY
    DIY
    +關(guān)注

    關(guān)注

    176

    文章

    885

    瀏覽量

    347424
收藏 人收藏

    評論

    相關(guān)推薦

    【Runber FPGA開發(fā)板】配套視頻教程——LED流水燈實驗

    本視頻是Runber FPGA開發(fā)板的配套視頻課程,主要通過LED流水燈實驗來介紹如何利用計數(shù)器按一定的時鐘頻率進行計時和數(shù)據(jù)位拼接操作,實現(xiàn)
    發(fā)表于 04-12 18:28

    【Runber FPGA開發(fā)板】配套視頻教程——鍵控流水燈實驗

    本視頻是Runber FPGA開發(fā)板的配套視頻課程,實驗由一個按鍵控制LED燈4種不同狀態(tài)的切換,通過鍵控流水燈實驗幫助初學(xué)者快速掌握cas
    發(fā)表于 04-13 11:06

    如何去實現(xiàn)開發(fā)板LED流水燈功能

    LED模塊是通過什么來控制的?如何去實現(xiàn)開發(fā)板LED流水燈
    發(fā)表于 08-03 06:17

    開發(fā)板LED流水燈控制

    文章目錄開發(fā)板LED燈相關(guān)的電路圖點燈LED閃爍LED流水燈其他效果燈光二進制計數(shù)器進階版流水燈
    發(fā)表于 12-02 08:06

    如何上手FPGA實現(xiàn)簡單的流水燈效果

    配置選擇上篇【FPGA實驗】流水燈實驗記錄了如何上手FPGA,實現(xiàn)簡單的流水燈效果,本篇將稍微升級一些,通過按鈕
    發(fā)表于 01-18 10:28

    LED流水燈實驗的相關(guān)資料推薦

    實驗二:LED流水燈實驗強調(diào):實驗二:LED流水燈實驗使用的開發(fā)板原理圖及本次使用的模塊備注:實驗二的代碼部分強調(diào):本文章為新手提供學(xué)習(xí)參考
    發(fā)表于 01-21 06:39

    利用FPGA DIY開發(fā)板控制流水燈功能實現(xiàn)

    asean的 FPGA DIY 流水燈視頻
    的頭像 發(fā)表于 06-20 05:04 ?2902次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板</b><b class='flag-5'>控制</b><b class='flag-5'>流水燈</b><b class='flag-5'>功能</b><b class='flag-5'>實現(xiàn)</b>

    利用FPGA DIY開發(fā)板實現(xiàn)按鍵控制LED顯示

    asean的 FPGA DIY 按鍵控制LED顯示的視頻
    的頭像 發(fā)表于 06-20 14:06 ?7000次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b>按鍵<b class='flag-5'>控制</b><b class='flag-5'>LED</b>的<b class='flag-5'>顯示</b>

    采用FPGA DIY 開發(fā)板實現(xiàn)8個流水燈向左移功能

    FPGA diy作業(yè)實現(xiàn)8位LED輸出向左的流水燈。
    的頭像 發(fā)表于 06-20 08:26 ?5329次閱讀
    采用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b>8個<b class='flag-5'>流水燈</b>向左移<b class='flag-5'>功能</b>

    采用 FPGA DIY 開發(fā)板實現(xiàn)花樣流水燈功能

    程序包含點亮 LED、LED 閃爍燈、流水燈、跑馬燈
    的頭像 發(fā)表于 06-20 01:07 ?3782次閱讀
    采用 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b>花樣<b class='flag-5'>流水燈</b><b class='flag-5'>功能</b>

    采用 FPGA DIY開發(fā)板實現(xiàn)流水燈功能

    實現(xiàn)從右到左邊的流水燈,間隔1s
    的頭像 發(fā)表于 06-20 06:30 ?3811次閱讀
    采用 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b><b class='flag-5'>流水燈</b><b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實現(xiàn)流水燈功能

    D1、D2、D3、D4流水燈閃爍
    的頭像 發(fā)表于 06-20 06:28 ?3789次閱讀

    使用FPGA開發(fā)板進行奇偶流水燈的詳細(xì)資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA開發(fā)板進行奇偶流水燈的詳細(xì)資料說明。
    發(fā)表于 04-28 08:00 ?3次下載

    HME FPGA入門指導(dǎo):HME-P(飛馬)系列開發(fā)板實驗教程——LED流水燈

    熟悉 HME FPGA PLL IP 的使用,實現(xiàn) LED 流水燈功能
    的頭像 發(fā)表于 05-30 10:04 ?1450次閱讀
    HME <b class='flag-5'>FPGA</b>入門指導(dǎo):HME-P(飛馬)系列<b class='flag-5'>開發(fā)板</b>實驗教程——<b class='flag-5'>LED</b><b class='flag-5'>流水燈</b>

    基于FPGA開發(fā)板流水燈的設(shè)計實現(xiàn)

    流水燈,有時候也叫跑馬燈,是一個簡單、有趣又經(jīng)典的實驗,基本所有單片機的玩家們在初期學(xué)習(xí)的階段都做過。本次我們也來介紹一下如何通過小腳丫FPGA實現(xiàn)一個流水燈。
    發(fā)表于 06-20 17:10 ?1150次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>開發(fā)板</b><b class='flag-5'>流水燈</b>的設(shè)計<b class='flag-5'>實現(xiàn)</b>