0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

采用 FPGA DIY開發(fā)板實現(xiàn)流水燈功能

英特爾 Altera視頻 ? 2018-06-20 06:30 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598937
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    772

    瀏覽量

    153671
  • DIY
    DIY
    +關(guān)注

    關(guān)注

    176

    文章

    885

    瀏覽量

    347424
  • 流水燈
    +關(guān)注

    關(guān)注

    21

    文章

    432

    瀏覽量

    59470
收藏 人收藏

    評論

    相關(guān)推薦

    如何去實現(xiàn)開發(fā)板LED流水燈功能

    LED模塊是通過什么來控制的?如何去實現(xiàn)開發(fā)板LED流水燈功能
    發(fā)表于 08-03 06:17

    如何上手FPGA實現(xiàn)簡單的流水燈效果

    配置選擇上篇【FPGA實驗】流水燈實驗記錄了如何上手FPGA,實現(xiàn)簡單的流水燈效果,本篇將稍微升級一些,通過按鈕
    發(fā)表于 01-18 10:28

    基于EG4S20開發(fā)板實現(xiàn)硬件流水燈的設(shè)計方案

    1、基于EG4S20開發(fā)板實現(xiàn)硬件流水燈的設(shè)計在實驗二中,我們提到了使用 GPIO 端口實現(xiàn)流水燈,其有一個致命的缺點,就是處理器需要全程參與控制的過程,這使得處理器的執(zhí)行效率非常低。
    發(fā)表于 08-15 16:20

    使用FPGA DIY開發(fā)板控制實現(xiàn)LED流水燈功能顯示

    haohaolinux 的LED流水燈程序。
    的頭像 發(fā)表于 06-11 00:03 ?5584次閱讀

    利用FPGA DIY開發(fā)板控制流水燈功能實現(xiàn)

    asean的 FPGA DIY 流水燈視頻
    的頭像 發(fā)表于 06-20 05:04 ?2902次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板</b>控制<b class='flag-5'>流水燈</b><b class='flag-5'>功能</b><b class='flag-5'>實現(xiàn)</b>

    采用Sakura實驗板實現(xiàn)流水燈功能

    基于瑞薩Sakura實驗板制作的流水燈。
    的頭像 發(fā)表于 06-15 08:06 ?2938次閱讀

    采用FPGA DIY 開發(fā)板實現(xiàn)8個流水燈向左移功能

    FPGA diy作業(yè)實現(xiàn)8位LED輸出向左的流水燈
    的頭像 發(fā)表于 06-20 08:26 ?5329次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b>8個<b class='flag-5'>流水燈</b>向左移<b class='flag-5'>功能</b>

    通過 FPGA DIY 開發(fā)板實現(xiàn)花樣彩燈功能

    該視頻實現(xiàn)花樣彩燈功能,包含點亮LED 、從左到右,從右到左,中間往兩邊,兩邊往中間的流水燈;左到右,右到左的跑馬燈。每個功能之間,LED閃爍2次。
    的頭像 發(fā)表于 06-20 04:07 ?3995次閱讀
    通過 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b>花樣彩燈<b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實現(xiàn)閃爍燈功能

    xianglin1006 的 FPGA DIY 閃爍燈視頻
    的頭像 發(fā)表于 06-20 06:27 ?4225次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b>閃爍燈<b class='flag-5'>功能</b>

    采用 FPGA DIY 開發(fā)板實現(xiàn)花樣流水燈功能

    程序包含點亮 LED、LED 閃爍燈、流水燈、跑馬燈
    的頭像 發(fā)表于 06-20 01:07 ?3782次閱讀
    <b class='flag-5'>采用</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b>花樣<b class='flag-5'>流水燈</b><b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實現(xiàn)流水燈功能

    D1、D2、D3、D4流水燈閃爍
    的頭像 發(fā)表于 06-20 06:28 ?3789次閱讀

    使用FPGA開發(fā)板進行奇偶流水燈的詳細資料說明

    本文檔的主要內(nèi)容詳細介紹的是使用FPGA開發(fā)板進行奇偶流水燈的詳細資料說明。
    發(fā)表于 04-28 08:00 ?3次下載

    使用單片機開發(fā)板實現(xiàn)LED流水和定時器的程序免費下載

    本文檔的主要內(nèi)容詳細介紹的是使用單片機開發(fā)板實現(xiàn)LED流水和定時器的程序免費下載。
    發(fā)表于 06-10 17:15 ?2次下載
    使用單片機<b class='flag-5'>開發(fā)板實現(xiàn)</b>LED<b class='flag-5'>流水</b>和定時器的程序免費下載

    HME FPGA入門指導:HME-P(飛馬)系列開發(fā)板實驗教程——LED流水燈

    熟悉 HME FPGA PLL IP 的使用,實現(xiàn) LED 流水燈功能。
    的頭像 發(fā)表于 05-30 10:04 ?1450次閱讀
    HME <b class='flag-5'>FPGA</b>入門指導:HME-P(飛馬)系列<b class='flag-5'>開發(fā)板實</b>驗教程——LED<b class='flag-5'>流水燈</b>

    基于FPGA開發(fā)板流水燈的設(shè)計實現(xiàn)

    流水燈,有時候也叫跑馬燈,是一個簡單、有趣又經(jīng)典的實驗,基本所有單片機的玩家們在初期學習的階段都做過。本次我們也來介紹一下如何通過小腳丫FPGA實現(xiàn)一個流水燈。
    發(fā)表于 06-20 17:10 ?1150次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>開發(fā)板</b><b class='flag-5'>流水燈</b>的設(shè)計<b class='flag-5'>實現(xiàn)</b>