0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通過ModelSim-Altera生成測(cè)試臺(tái)并通過NativeLink運(yùn)行RTL仿真的步驟

英特爾 Altera視頻 ? 2018-06-22 09:00 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    772

    瀏覽量

    153694
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3976

    瀏覽量

    133003
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59534
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    quartus ii 與modelsim-altera聯(lián)合仿真

    quartus ii 的原理圖文件如何與modelsim-altera聯(lián)合仿真
    發(fā)表于 04-14 21:51

    關(guān)于 modelsim-altera 的問題咨詢

    我之前安裝好了quartus12.0,但是沒有下載安裝modelsim-Altera。后來在官網(wǎng)上下載了一個(gè)modelsim-Altera 10.0 d。 但是在安裝modelsim-altera
    發(fā)表于 08-26 13:48

    Modelsim-Altera 10.1d破解

    simulator卻可以正常工作。最后發(fā)現(xiàn),原來安裝的這個(gè)仿真Modelsim-Altera與QuartusII13.0一樣都需要破解附件是 在別的論壇上下載的破解工具和說明。
    發(fā)表于 01-08 15:16

    Modelsim-ALTERA的破解成功

    Modelsim-ALTERA的破解今天照視頻教程中想在Quartus中調(diào)用Modelsim仿真,先用Modelsim SE 6.2b,總是不好用,后來想想算了,還是下載個(gè)
    發(fā)表于 05-02 16:27

    linux下使用modelsim-altera遇到的問題

    simulation的時(shí)候報(bào)這樣的錯(cuò)誤:Error: Error: Can*t launch ModelSim-Altera Simulation software -- make sure the software
    發(fā)表于 07-30 09:00

    安裝ModelSim-Altera的路徑問題

    我安裝的是quartus12,剛學(xué)FPGA發(fā)現(xiàn)沒有自帶的仿真了,然后開始安裝ModelSim-Altera,一直卡在這里,選不對(duì)路徑下面是我安裝quartus的路徑還有什么更好的解決仿真問題的嗎,新手學(xué)習(xí)用,要求不高謝謝
    發(fā)表于 10-10 20:55

    求助:關(guān)于quartus II 和 modelsim 聯(lián)合仿真設(shè)置問題

    "e:/altera/13.0/quartus/common/tcl/internal/nativelink/qnativesim.tcl" --rtl_sim "
    發(fā)表于 03-30 12:37

    Quartus II 與 ModelSim 聯(lián)合仿真——Error處理

    今天嘗試聯(lián)合仿真,期間發(fā)現(xiàn)兩個(gè)問題,先坐下記錄。1、ERROR:cannot launch the modelsim-altera software because you did
    發(fā)表于 04-24 19:08

    用Quartus II V13.0的VWF仿真時(shí)提示“ModelSim-Altera was not found”怎么解決

    我在用Quartus II V13.0的VWF仿真時(shí)提示“ModelSim-Altera was not found”。我確認(rèn)ModelSim-Altera的路徑已設(shè)置為D:\altera
    發(fā)表于 02-05 11:56

    勇敢的芯伴你玩轉(zhuǎn)ALTERA FPGA連載47:基于仿真的第一個(gè)工程實(shí)例之調(diào)用MODELSIM仿真

    運(yùn)行仿真菜單隨后ModelSim-Altera便啟動(dòng),如圖6.19所示,這是ModelSim-Altera軟件的工作界面。圖6.19 波形界面彈出
    發(fā)表于 02-05 15:37

    CPLD仿真時(shí)提示path路徑問題

    with ModelSim-Altera softwareSourced NativeLink script g:/program files/altera/13.0sp1/quartus/common/tcl
    發(fā)表于 04-30 05:20

    Modelsim常見問題】Can't launch the ModelSim-Altera software

    報(bào)錯(cuò)信息:Error:Can'tlaunch the ModelSim-Altera software -- the path to the location of theexecutables
    發(fā)表于 02-13 20:50

    modelsimmodelsim-altera同時(shí)安裝如何設(shè)置環(huán)境變量

    請(qǐng)問高手,modelsimmodelsim-altera同時(shí)安裝如何設(shè)置環(huán)境變量?謝謝!
    發(fā)表于 10-18 14:29

    我的modelsimmodelsim-altera的compile編譯按鈕都是灰的

    我的modelsimmodelsim-altera的compile編譯按鈕都是灰的。請(qǐng)問高手,如何解決?謝謝!百度搜了,不管用。
    發(fā)表于 10-18 16:37

    Altera ModelSim 6.5仿真入門教程

    Altera ModelSim 6.5仿真入門教程,需要的可自行下載。 平臺(tái) 軟件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edi
    發(fā)表于 08-15 15:40 ?255次下載
    <b class='flag-5'>Altera</b> <b class='flag-5'>ModelSim</b> 6.5<b class='flag-5'>仿真</b>入門教程