0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

薦讀:基于FPGA 的CRC校驗(yàn)碼生成器

FPGA學(xué)習(xí)交流 ? 來源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2018-06-13 11:18 ? 次閱讀

大家好,又到了每日學(xué)習(xí)的時間了,今天我們來聊一聊基于FPGA 的CRC校驗(yàn)碼生成器。下面咱們就來具體看看,歡迎大家一起交流學(xué)習(xí)。

1.概述
CRC即Cyclic Redundancy Check,循環(huán)冗余校驗(yàn),是一種數(shù)字通信中的常用信道編碼技術(shù)。其特征是信息段和校驗(yàn)字段的長度可以任意選定。

2.CRC校驗(yàn)的基本原理:
CRC碼是由兩部分組成的,前部分是信息碼,就是需要校驗(yàn)的信息,后部分是校驗(yàn)碼,如果CRC碼長共n bit,信息碼長k bit,就稱為(n,k)碼,剩余的r bit即為校驗(yàn)位。如:(7,3)碼:110 1001,前三位110為信息碼,1001為校驗(yàn)碼。

3.校驗(yàn)碼的生成規(guī)則:
1)將原信息碼左移r bit,右側(cè)補(bǔ)零,如 110--> 110 0000;
2)用110 0000除以g(x) (注意,使用的是模2除法,見下文),得到的余數(shù)即為CRC校驗(yàn)碼;
3)將校驗(yàn)碼續(xù)接到信息碼的尾部,形成CRC碼。

4.關(guān)于生成多項(xiàng)式g(x)
在產(chǎn)生CRC校驗(yàn)碼時,要用到除法運(yùn)算,一般來說,這是比較麻煩的,因此,把二進(jìn)制信息預(yù)先轉(zhuǎn)換成一定的格式,這就是CRC的多項(xiàng)式表示。二進(jìn)制數(shù)表示為生成多項(xiàng)式的系數(shù),如下:
102707v1usnbr9mmc6cc29.png

所有二進(jìn)制數(shù)均被表示為一個多項(xiàng)式,x僅是碼元位置的標(biāo)記,因此我們并不關(guān)心x的取值,稱之為碼多項(xiàng)式。(我沒研究過CRC代數(shù)推理過程,沒體會到用多項(xiàng)式計(jì)算的方便之處,這里要學(xué)會的就是給出生成多項(xiàng)式g(x),能寫出對應(yīng)的二進(jìn)制即可)

常見的生成多項(xiàng)式如下:
102707znkdf1twu1rqth1q.png

5.關(guān)于模2除法

模2運(yùn)算就是加法不考慮進(jìn)位,減法不考慮借位,

1)加法運(yùn)算:

0+0=0 0+1=1 1+0=1 1+1=0

例如0101+0011=0110,列豎式計(jì)算:

0 1 0 1

+ 0 0 1 1

──────

0 1 1 0

2)減法運(yùn)算:

0-0=0 0-1=1 1-0=1 1-1=0

例如0110-0011=0101,列豎式計(jì)算:

0 1 1 0

- 0 0 1 1

──────

0 1 0 1

3)乘法運(yùn)算

0×0=0 0×1=0 1×0=0 1×1=1

多位二進(jìn)制模2乘法類似于普通意義上的多位二進(jìn)制乘法,不同之處在于后者累加中間結(jié)果時采用帶進(jìn)位的加法,而模2乘法對中間結(jié)果的處理方式采用的是模2加法。例如1011×101=100111,列豎式計(jì)算:
102708cgy44pc48hpazafg.png

4)除法運(yùn)算:

0÷1=0 1÷1=1

多位二進(jìn)制模2除法也類似于普通意義上的多位二進(jìn)制除法,但是在如何確定商的問題上兩者采用不同的規(guī)則。后者按帶借位的二進(jìn)制減法,根 據(jù)余數(shù)減除數(shù)夠減與否確定商1還是商0,若夠減則商1,否則商0。多位模2除法采用模2減法,不帶借位的二進(jìn)制減法,因此考慮余數(shù)夠減除數(shù)與否是沒有意義 的。實(shí)際上,在CRC運(yùn)算中,總能保證除數(shù)的首位為1,則模2除法運(yùn)算的商是由余數(shù)首位與除數(shù)首位的模2除法運(yùn)算結(jié)果確定。因?yàn)槌龜?shù)首位總是1,按照模2 除法運(yùn)算法則,那么余數(shù)首位是1就商1,是0就商0。例如1100100÷1011=1110……110,列豎式計(jì)算:
102708xbq7qbq7c944h13p.png


掌握了上面的運(yùn)算規(guī)則,您可以嘗試計(jì)算一個復(fù)雜一點(diǎn)的,如下:
102709k6gyjgvcgchje8xs.png


如果得到的余數(shù)結(jié)果正確,您掌握的東西就夠用了。

6.CRC-CCITT的硬件實(shí)現(xiàn)

CRC-CCITT的生成多項(xiàng)式為:
102709lpbt3bvhb3at703t.png


對應(yīng)的二進(jìn)制數(shù)就是上面復(fù)雜運(yùn)算中那個除數(shù)。由剛才的計(jì)算可知,對于8 bit的數(shù)據(jù) 0xaa,它的CRC校驗(yàn)碼為0001 0100 1010 0000,下面用verilog來實(shí)現(xiàn),看能否得到這個結(jié)果:

要實(shí)現(xiàn)這一過程,仍然需要LFSR電路,參看《FPGA產(chǎn)生基于LFSR的偽隨機(jī)數(shù)》中關(guān)于該電路特性的介紹,如果您不需要了解原理,直接略過即可;有所改進(jìn)的地方就是,可以將偽隨機(jī)數(shù)發(fā)生器看作一個Moore型狀態(tài)機(jī),它的輸出只與當(dāng)前的狀態(tài)有關(guān);而此時利用LFSR電路,需要引入數(shù)據(jù)輸入端,輸出不僅取決于當(dāng)前的狀態(tài),還取決于輸入信號,相當(dāng)于Mealy型狀態(tài)機(jī),如下圖:
102709mygb577773w7wg7k.png

注意對比與偽隨機(jī)數(shù)產(chǎn)生器中該反饋支路的區(qū)別!

反饋項(xiàng)gr+1gr……g0為生成多項(xiàng)式的系數(shù),依然是1代表存在反饋,0代表不存在反饋;此電路可以完成上述的模2除法操作,若我們要求0xaa的CRC校驗(yàn)碼,則從高位到低位順序輸入0xaa共8 bit后,D15……D0中的數(shù)據(jù)即為所要求的余數(shù),即CRC校驗(yàn)位。

7.verilog描述
如果用時序電路串行實(shí)現(xiàn),則8 bit數(shù)據(jù)要移位8次,就需要8個clk,效率低下,為了能在一個時鐘周期輸出結(jié)果,必須采用組合電路,當(dāng)然,這是以空間換時間的方法,由于使用了for循環(huán)8次,直觀的講電路規(guī)模將擴(kuò)大8倍。

module CRC_GEN(
input rst, /*async reset,active low*/
input clk, /*clock input*/
input [7:0] data_in, /*parallel data input pins */
input d_valid, /* data valid,start to generate CRC, active high*/
output reg[15:0] crc
);

integer i;
reg feedback;
reg [15:0] crc_tmp;
/*
*sequential process
*/
always @(posedge clk or negedge rst)
begin
if(!rst)
crc <= 16'b0; ? ? ? ? ?/*觸發(fā)器中的初始值十分重要 */
else if(d_valid==1'b0)
crc <= 16'b0;
else
crc <= crc_tmp;
end

/*
* combination process
*/
always@( data_in or crc)
begin
crc_tmp = crc;
for(i=7; i>=0; i=i-1)
begin
feedback = crc_tmp[15] ^ data_in;
crc_tmp[15] = crc_tmp[14];
crc_tmp[14] = crc_tmp[13];
crc_tmp[13] = crc_tmp[12];
crc_tmp[12] = crc_tmp[11] ^ feedback;
crc_tmp[11] = crc_tmp[10] ;
crc_tmp[10] = crc_tmp[9];
crc_tmp[9] = crc_tmp[8];
crc_tmp[8] = crc_tmp[7];
crc_tmp[7] = crc_tmp[6];
crc_tmp[6] = crc_tmp[5];
crc_tmp[5] = crc_tmp[4] ^ feedback;
crc_tmp[4] = crc_tmp[3];
crc_tmp[3] = crc_tmp[2];
crc_tmp[2] = crc_tmp[1];
crc_tmp[1] = crc_tmp[0];
crc_tmp[0] = feedback;
end
end

endmodule

仿真結(jié)果如下:得到的是數(shù)據(jù)0xaa和0xf0的CRC校驗(yàn)碼,為驗(yàn)證結(jié)果的正確性,您可以按照模2法則手工計(jì)算一下^.^
102710oaj7a9jjv33pwefx.png


8.同樣給出一個4 bit信息位,5 bitCRC碼的(9,4)碼的程序和仿真結(jié)果,程序的流程與上述流程完全一樣:
102710e8q11f8xfd2x21fa.png

112006x6h59yatxsx2dyzd.png

102710bsse8lf8pp1zsfh2.png

后記:細(xì)心的讀者可能發(fā)現(xiàn),本文對LFSR電路能完成模2求余操作的原因避而不談,不是因?yàn)椴桓嬖V你,是因?yàn)槲乙膊皇呛芮宄?,工科背景對?shù)學(xué)推理實(shí)在是有點(diǎn)不知所云,尤其是看到國內(nèi)教材那好幾頁的公式的時候,如果您有深入淺出的講解LFSR電路由來與應(yīng)用的文章,注意是深入淺出的,請您大力推薦,在此感謝!

今天就聊到這里,各位,加油。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598875
收藏 人收藏

    評論

    相關(guān)推薦

    如何在IAR Embedded Workbench中配置生成對應(yīng)代碼區(qū)域的CRC校驗(yàn)碼

    在“使用IAR Embedded Workbench和MCU的CRC模塊來檢查代碼的完整性”一文中,介紹了如何在IAR Embedded Workbench中配置生成對應(yīng)代碼區(qū)域的CRC校驗(yàn)碼
    的頭像 發(fā)表于 10-27 11:49 ?1280次閱讀
    如何在IAR Embedded Workbench中配置<b class='flag-5'>生成</b>對應(yīng)代碼區(qū)域的<b class='flag-5'>CRC</b><b class='flag-5'>校驗(yàn)碼</b>

    crc校驗(yàn)碼生成工具下載-crc生成工具

    crc校驗(yàn)碼生成工具下載-crc生成工具[此貼子已經(jīng)被作者于2008-5-30 9:23:38編輯過]
    發(fā)表于 05-20 11:16

    實(shí)用資料——CRC校驗(yàn)碼生成算法

    同樣方法進(jìn)行計(jì)算,只是計(jì)算的內(nèi)容不要包括最后兩個字節(jié),然后比較生成CRC校驗(yàn)碼和數(shù)據(jù)幀中的是否相同即可。如果發(fā)送方交換CRC校驗(yàn)碼的高低8
    發(fā)表于 01-11 21:10

    實(shí)用資料——CRC校驗(yàn)碼生成算法

    同樣方法進(jìn)行計(jì)算,只是計(jì)算的內(nèi)容不要包括最后兩個字節(jié),然后比較生成CRC校驗(yàn)碼和數(shù)據(jù)幀中的是否相同即可。如果發(fā)送方交換CRC校驗(yàn)碼的高低8
    發(fā)表于 02-14 19:45

    8位CRC校驗(yàn)碼表格生成及高速校驗(yàn)子程序

    8位CRC校驗(yàn)碼表格生成及高速校驗(yàn)子程序
    發(fā)表于 05-16 13:49 ?109次下載

    循環(huán)冗余校驗(yàn)碼---CRC

    循環(huán)冗余校驗(yàn)碼---CRC   二進(jìn)制信息位串沿一條信號線逐位在部件之間或計(jì)算機(jī)之間傳送稱為串行傳送。CRC(Cyclic Redundancy c
    發(fā)表于 10-13 16:52 ?7121次閱讀
    循環(huán)冗余<b class='flag-5'>校驗(yàn)碼</b>---<b class='flag-5'>CRC</b><b class='flag-5'>碼</b>

    CRC校驗(yàn)碼算法的研究與實(shí)現(xiàn)

    為了提高實(shí)際通信中檢查信號傳輸錯誤的能力,提高和推廣CRC校驗(yàn)技術(shù),本論文用邏輯代數(shù)知識、按模運(yùn)算、代數(shù)知識和C語言編程工具設(shè)計(jì)了幾種具體實(shí)用的CRC校驗(yàn)碼的計(jì)算方法,這些
    發(fā)表于 05-28 15:41 ?0次下載

    CRC-16校驗(yàn)碼生成

    C#編寫的CRC校驗(yàn)碼生成小程序,簡潔明了,如果有需要的話,大家可以下載了。
    發(fā)表于 05-06 15:06 ?8次下載

    補(bǔ)充: FPGA產(chǎn)生基于LFSR的偽隨機(jī)數(shù)

    大家好,又到了每日學(xué)習(xí)的時間了,上一篇《:基于FPGACRC校驗(yàn)碼生成器》文中,提到了要
    的頭像 發(fā)表于 06-13 11:21 ?7560次閱讀
    補(bǔ)充: <b class='flag-5'>FPGA</b>產(chǎn)生基于LFSR的偽隨機(jī)數(shù)

    CRC校驗(yàn)碼的C語言程序免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是CRC校驗(yàn)碼的C語言程序免費(fèi)下載。
    發(fā)表于 04-22 08:00 ?27次下載
    <b class='flag-5'>CRC</b><b class='flag-5'>校驗(yàn)碼</b>的C語言程序免費(fèi)下載

    CRC校驗(yàn)碼并行計(jì)算的FPGA實(shí)現(xiàn)

    了按字節(jié)并行計(jì)算 CRC 校驗(yàn)碼的 原理 ,并以常見的 CRC - 16 和 CRC - CCITT 為例 ,用 VHDL 語言進(jìn)行了可綜合設(shè)計(jì)。結(jié)果表明這種實(shí)現(xiàn)方法在速度和占 用資源
    發(fā)表于 03-28 09:34 ?30次下載
    <b class='flag-5'>CRC</b><b class='flag-5'>校驗(yàn)碼</b>并行計(jì)算的<b class='flag-5'>FPGA</b>實(shí)現(xiàn)

    FPGA產(chǎn)生基于LFSR的偽隨機(jī)數(shù)概念

    大家好,又到了每日學(xué)習(xí)的時間了,上一篇《:基于FPGACRC校驗(yàn)碼生成器》文中,提到了“
    的頭像 發(fā)表于 04-02 16:33 ?2319次閱讀
    <b class='flag-5'>FPGA</b>產(chǎn)生基于LFSR的偽隨機(jī)數(shù)概念

    基于FPGACRC校驗(yàn)碼生成器設(shè)計(jì)

    所有二進(jìn)制數(shù)均被表示為一個多項(xiàng)式,x僅是碼元位置的標(biāo)記,因此我們并不關(guān)心x的取值,稱之為多項(xiàng)式。(我沒研究過CRC代數(shù)推理過程,沒體會到用多項(xiàng)式計(jì)算的方便之處,這里要學(xué)會的就是給出生成多項(xiàng)式g(x),能寫出對應(yīng)的二進(jìn)制即可)
    發(fā)表于 11-16 09:32 ?667次閱讀

    工控常用LRC XOR累加和CRC校驗(yàn)工具校驗(yàn)碼自動生成軟件多計(jì)算方式

    CRC校驗(yàn)工具 校驗(yàn)碼自動生成軟件支持十幾種CRC計(jì)算方式,包括MODBUS協(xié)議的CRC-16
    的頭像 發(fā)表于 11-25 14:27 ?3112次閱讀
    工控常用LRC XOR累加和<b class='flag-5'>CRC</b><b class='flag-5'>校驗(yàn)</b>工具<b class='flag-5'>校驗(yàn)碼</b>自動<b class='flag-5'>生成</b>軟件多計(jì)算方式

    CRC校驗(yàn)碼的多種Verilog實(shí)現(xiàn)方式

    CRC循環(huán)冗余校驗(yàn)碼(Cyclic Redundancy Check),檢錯碼。
    的頭像 發(fā)表于 06-21 15:03 ?3200次閱讀
    <b class='flag-5'>CRC</b><b class='flag-5'>校驗(yàn)碼</b>的多種Verilog實(shí)現(xiàn)方式