0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Wishbone總線周期之復(fù)位操作

SwM2_ChinaAET ? 來源:未知 ? 作者:李倩 ? 2018-07-11 09:07 ? 次閱讀

一個(gè)總線周期由多個(gè)不可分的時(shí)鐘周期構(gòu)成,完成單次讀/寫操作、塊讀/寫操作或者讀改寫操作??偩€周期也分為單次讀/寫周期、塊讀/寫周期和讀改寫周期。一次塊讀/寫總線周期完成多次數(shù)據(jù)讀/寫操作。一般情況下,一次操作由主設(shè)備和從設(shè)備控制信號(hào)間的一次握手,以及同時(shí)進(jìn)行的地址和數(shù)據(jù)總線的一次傳輸構(gòu)成。塊操作表示整個(gè)操作需要完成多次數(shù)據(jù)傳送。在總線周期中主設(shè)備和從設(shè)備預(yù)先設(shè)定好的共同遵守控制信號(hào)握手規(guī)則,以及地址和數(shù)據(jù)總線的傳輸規(guī)則稱作總線協(xié)議。

在下文(包括以后的博文中)所給圖例中給出的信號(hào)均為主設(shè)備的輸入輸出信號(hào)。因此,對(duì)操作的描述也從主設(shè)備信號(hào)的角度展開,以便于讀者對(duì)照正文理解圖例。比如"在時(shí)鐘上升沿1到達(dá)之前,從設(shè)備檢測(cè)到主設(shè)備發(fā)起的操作,將適當(dāng)?shù)臄?shù)據(jù)放到主設(shè)備的輸入信號(hào)DAT_I()和TGD_I(),將主設(shè)備的ACK_I置高作為對(duì)主設(shè)備STB_O的響應(yīng)。"的等價(jià)描述為:"在時(shí)鐘上升沿1到達(dá)之前,從設(shè)備檢測(cè)到主設(shè)備發(fā)起的操作,將適當(dāng)?shù)臄?shù)據(jù)放到其輸出信號(hào)DAT_O()和TGD_O(),將輸出信號(hào)ACK_O置高作為對(duì)主設(shè)備STB_O的響應(yīng)。"

復(fù)位操作

復(fù)位是數(shù)字系統(tǒng)最基本的操作。復(fù)位后,系統(tǒng)進(jìn)入預(yù)定的狀態(tài)。在遵守Wishbone總線規(guī)范的系統(tǒng)中,當(dāng)RST_I信號(hào)有效,系統(tǒng)開始復(fù)位。由于Wishbone規(guī)范規(guī)定的復(fù)位是同步復(fù)位,因此在復(fù)位信號(hào)有效后接下來的時(shí)鐘上升沿,所有信號(hào)和寄存器進(jìn)入預(yù)定狀態(tài)。因此,Wishbone規(guī)范要求RST_I信號(hào)有效時(shí)間至少要一個(gè)時(shí)鐘周期。在數(shù)字系統(tǒng)中,實(shí)際上更多的采用的是異步復(fù)位,而且復(fù)位信號(hào)的長(zhǎng)度一般大于系統(tǒng)電平穩(wěn)定時(shí)間和系統(tǒng)時(shí)鐘頻率穩(wěn)定時(shí)間。如果在Wishbone接口中使用的是異步復(fù)位,設(shè)計(jì)者需要在文檔中說明,因?yàn)閃ishbone接口默認(rèn)的是同步復(fù)位。

圖6 Wishbone總線的復(fù)位操作

在圖6中,我們只給出了STB_O和CYC_O這兩個(gè)信號(hào),而沒有給出其它信號(hào)。當(dāng)這兩個(gè)信號(hào)無效時(shí),所有其他信號(hào)沒有意義。

規(guī)則3.00:所有的Wishbone接口必須在RST_I置位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿進(jìn)入初始化狀態(tài),直到RST_I被復(fù)位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿到來。

規(guī)則3.05:RST_I必須被置位至少一個(gè)完整的時(shí)鐘周期,才能有效地完成復(fù)位。

允許3.00:當(dāng)然,RST_I也可以被置位多個(gè)時(shí)鐘周期,但是數(shù)量必須是有限的。

規(guī)則3.10:所有的Wishbone接口必須能夠在任意時(shí)間響應(yīng)RST_I信號(hào)(優(yōu)先級(jí)足夠高)。

規(guī)則3.15:所有的Wishbone自啟動(dòng)狀態(tài)機(jī)核計(jì)數(shù)器必須在RST_I置位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿進(jìn)入初始化狀態(tài),直到RST_I被復(fù)位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿到來。

規(guī)則3.20:以下主機(jī)信號(hào)必須在RST_I置位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿復(fù)位(變?yōu)?),直到RST_I被復(fù)位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿到來:STB_O,CYC_O。所有的其他主機(jī)此時(shí)不能操作這些信號(hào),以響應(yīng)復(fù)位周期。RST_I被復(fù)位(變?yōu)?)后的第一個(gè)時(shí)鐘上升沿到來后,主機(jī)接口的STB_O和CYC_O信號(hào)可以被立即置位(變?yōu)?)。

注意3.10:在標(biāo)準(zhǔn)模式下(除了流水線之外的模式),當(dāng)STB_I被復(fù)位(變?yōu)?)時(shí),從機(jī)接口應(yīng)自動(dòng)將ACK_O,ERR_O和RTY_O置位。

推薦3.00:設(shè)計(jì)SYSCON模塊時(shí),應(yīng)保證上電復(fù)位時(shí)將RST_O信號(hào)置位。并且RST_O信號(hào)應(yīng)該保持置位狀態(tài),直到電壓和時(shí)鐘頻率進(jìn)入穩(wěn)定狀態(tài)。最好使用同步復(fù)位的方式,以保證設(shè)計(jì)的兼容性。

注意3.15:在使用門控時(shí)鐘的情況下,如果時(shí)鐘被禁止了。此時(shí),Wishbone總線將不能響應(yīng)RST_I信號(hào)。

建議3.00:如果一些IP核或者SoC模塊需要異步復(fù)位,應(yīng)當(dāng)將復(fù)位信號(hào)定義為非Wishbone標(biāo)準(zhǔn)信號(hào)。這樣可以保證Wishbone接口使用純同步時(shí)序,以避免造成疑惑。

注意3.20:所有的Wishbone接口信號(hào)都應(yīng)能響應(yīng)復(fù)位信號(hào),除了IP核接口。

傳輸周期初始化

主機(jī)接口通過置位CYC_O信號(hào)進(jìn)行初始化傳輸周期,當(dāng)CYC_O信號(hào)被復(fù)位,其他所有的主機(jī)信號(hào)都被視為無效。從機(jī)也只在其CYC_I信號(hào)被置位的情況下,才會(huì)響應(yīng)其他主機(jī)信號(hào)。應(yīng)當(dāng)注意,SYSCON信號(hào)不受影響。

規(guī)則3.25:在單獨(dú)寫周期、塊讀寫周期和讀改寫周期(RMW)持續(xù)期間。主機(jī)接口必須將CYC_O信號(hào)置位。CYC_O信號(hào)置位時(shí)間必須不晚于STB_O置位后的第一個(gè)時(shí)鐘上升沿,CYC_O信號(hào)置位時(shí)間必須不早于STB_O復(fù)位后的第一個(gè)時(shí)鐘上升沿。

允許3.05:主機(jī)接口可以在任意時(shí)間置位CYC_O。

推薦3.05:仲裁邏輯經(jīng)常使用CYC_I信號(hào)進(jìn)行主機(jī)選擇。保持CYC_O一直長(zhǎng)時(shí)間處于置位狀態(tài)可能會(huì)導(dǎo)致仲裁錯(cuò)誤。因此在多主機(jī)情況下,應(yīng)當(dāng)避免使用【允許3.05】。

規(guī)則3.30:當(dāng)CYC_I被復(fù)位時(shí),從機(jī)接口可以不響應(yīng)任何其他主機(jī)信號(hào)。但是其必須響應(yīng)SYSCON信號(hào)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 信號(hào)
    +關(guān)注

    關(guān)注

    11

    文章

    2741

    瀏覽量

    76179
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2817

    瀏覽量

    87707
  • Wishbone
    +關(guān)注

    關(guān)注

    0

    文章

    16

    瀏覽量

    10405

原文標(biāo)題:【博文連載】Wishbone總線周期之復(fù)位操作

文章出處:【微信號(hào):ChinaAET,微信公眾號(hào):電子技術(shù)應(yīng)用ChinaAET】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    wishbone總線

    想請(qǐng)教各位前輩,wishbone在工作中很常用嗎?我最近在學(xué)關(guān)于這個(gè)的代碼,感覺很難懂,而時(shí)間又感覺不大夠用,需要重點(diǎn)攻擊, 所以想知道是否值得投入大量的時(shí)間去研究它。望各位前輩不吝賜教
    發(fā)表于 03-02 23:37

    基于WISHBONE總線的FLASH閃存接口設(shè)計(jì)

    的靈活性。FLASH讀接口設(shè)計(jì)該接口可實(shí)現(xiàn)單周期讀與塊讀功能,時(shí)序部分與WISHBONE兼容。由于采用的FLASH最大讀周期時(shí)間至少為90ns,故只有在總線時(shí)鐘工作在10MHz以下頻率
    發(fā)表于 12-05 10:35

    基于Wishbone片上總線的IP核的互聯(lián)

    以 FPGA 技術(shù)為基礎(chǔ),以Verilog HDL 為載體,設(shè)計(jì)了遵守Wishbone 片上總線規(guī)范的IP 核接口,實(shí)現(xiàn)了片上系統(tǒng)的IP 核互聯(lián)。
    發(fā)表于 01-13 15:09 ?13次下載

    基于Wishbone總線的UART IP核設(shè)計(jì)

    本文介紹的基于Wishbone總線的UART IP核的設(shè)計(jì)方法,通過驗(yàn)證表明了各項(xiàng)功能達(dá)到預(yù)期要求,為IP核接口的標(biāo)準(zhǔn)化設(shè)計(jì)提供了依據(jù)。此外,該IP核代碼全部采用模塊化的Verilog-HDL語言編寫,
    發(fā)表于 06-10 11:47 ?3671次閱讀
    基于<b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>的UART IP核設(shè)計(jì)

    基于WISHBONE總線的FLASH閃存接口設(shè)計(jì)

    本文簡(jiǎn)要介紹了AMD 公司Am29LV160D 芯片的特點(diǎn),并對(duì)WISHBONE總線作了簡(jiǎn)單的介紹,詳細(xì)說明了FLASH memory 與WISHBONE 總線的硬件接口設(shè)計(jì)及部分Ve
    發(fā)表于 06-23 16:32 ?18次下載
    基于<b class='flag-5'>WISHBONE</b><b class='flag-5'>總線</b>的FLASH閃存接口設(shè)計(jì)

    基于WISHBONE總線的通用接口控制器

    通用IO接口是Soc系統(tǒng)中非常重要的一種外圍端口.本文完成了一種基于WISHBONE總線的GPIO_W B拉制器的邏拜設(shè)計(jì)和物理實(shí)現(xiàn).文中較其體地介紹了GPIO_W B核的體系結(jié)構(gòu)以及WISHBONE接Q和DMA傳偷方式
    發(fā)表于 09-21 16:57 ?32次下載
    基于<b class='flag-5'>WISHBONE</b><b class='flag-5'>總線</b>的通用接口控制器

    Wishbone總線實(shí)現(xiàn)UART IP核設(shè)計(jì)

    該設(shè)計(jì)采用了自頂向下的模塊化劃分和有限狀態(tài)機(jī)相結(jié)合的方法,由于其應(yīng)用了標(biāo)準(zhǔn)的Wishbone總線接口,從而使微機(jī)系統(tǒng)與串行設(shè)備之間的通信更加靈活方便。驗(yàn)證結(jié)果表明,這種新的架構(gòu)
    發(fā)表于 10-19 15:01 ?27次下載
    <b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>實(shí)現(xiàn)UART IP核設(shè)計(jì)

    基于FPGA的SDX總線Wishbone總線接口設(shè)計(jì)

    介紹了基于硬件描述語言Verilog HDL設(shè)計(jì)的SDX總線Wishbone總線接口轉(zhuǎn)化的設(shè)計(jì)與實(shí)現(xiàn),并通過Modelsim進(jìn)行功能仿真,在QuartusⅡ軟件平臺(tái)上綜合,最終在Altera公司的CycloneⅢ系列FPGA上
    發(fā)表于 01-11 10:21 ?25次下載
    基于FPGA的SDX<b class='flag-5'>總線</b>與<b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>接口設(shè)計(jì)

    基于AMBA與WISHBONE的SoC總線橋KBar控制器的設(shè)計(jì)

    基于AMBA與WISHBONE的SoC總線橋KBar控制器的設(shè)計(jì)_陳俊銳
    發(fā)表于 03-19 11:31 ?0次下載

    PIC24H系列參考手冊(cè)之復(fù)位

    本文主要介紹了PIC24H系列參考手冊(cè)之復(fù)位模塊。
    發(fā)表于 06-22 04:20 ?7次下載
    PIC24H系列參考手冊(cè)<b class='flag-5'>之復(fù)位</b>

    dsPIC30F系列參考手冊(cè)之復(fù)位

    本文主要介紹了dsPIC30F系列參考手冊(cè)之復(fù)位模塊。
    發(fā)表于 06-24 03:20 ?9次下載
    dsPIC30F系列參考手冊(cè)<b class='flag-5'>之復(fù)位</b>

    PIC24F系列參考手冊(cè)之復(fù)位模塊

    本文主要介紹了PIC24F系列參考手冊(cè)之復(fù)位模塊。
    發(fā)表于 06-06 17:28 ?2次下載

    Wishbone一般總線規(guī)范的共同特點(diǎn)

    支持用戶定義的標(biāo)簽。這些標(biāo)簽可以用于為地址、數(shù)據(jù)總線提供額外的信息如奇偶校驗(yàn),為總線周期提供額外的信息如中斷向量、緩存控制操作的類型等。Wishbo
    的頭像 發(fā)表于 07-06 08:07 ?2894次閱讀
    <b class='flag-5'>Wishbone</b>一般<b class='flag-5'>總線</b>規(guī)范的共同特點(diǎn)

    Wishbone總線的異步周期結(jié)束路徑

    在圖25的上升沿1,主設(shè)備發(fā)起操作,在上升沿2,從設(shè)備將ACK_O置高,在上升沿3,從設(shè)備知道主設(shè)備將發(fā)起新的操作,于是將ACK_O繼續(xù)置高。因此, 3個(gè)時(shí)鐘周期就完成了2次操作,而不
    的頭像 發(fā)表于 07-24 09:08 ?2984次閱讀
    <b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>的異步<b class='flag-5'>周期</b>結(jié)束路徑

    Wishbone總線的主要特征概括

    在以上介紹的三種總線中,CoreConnect雖免費(fèi)不過需要IBM 公司許可,ARM 沒有明確的正式說法,可能也會(huì)免費(fèi),而Wishbone 是絕對(duì)免費(fèi)的。三種總線都是同步的總線,使用時(shí)
    的頭像 發(fā)表于 08-11 09:14 ?4672次閱讀
    <b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>的主要特征概括