0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Wishbone總線的突發(fā)結(jié)束

SwM2_ChinaAET ? 來源:未知 ? 作者:李倩 ? 2018-07-26 09:01 ? 次閱讀

突發(fā)結(jié)束(CTI_O=3’b111)表示當(dāng)前操作是當(dāng)前突發(fā)的最后一次操作,主設(shè)備在當(dāng)前操作結(jié)束后緊接著的時(shí)鐘周期不再發(fā)起操作。

圖26給出了CTI_O=3’b111的用法。圖中共有3次操作。第一次操作和第二次操作是一個(gè)突發(fā)操作的一部分。第一次操作發(fā)生在上升沿0,這是一次寄存反饋?zhàn)x操作,第二次操作是該突發(fā)操作的最后一個(gè)操作,主設(shè)備將CTI_O置為3’b111表示整個(gè)突發(fā)操作結(jié)束。第三次操作為單次寫操作。

圖26 Wishbone總線的突發(fā)結(jié)束

圖26的操作過程如下:

在時(shí)鐘上升沿0到達(dá)之前,一個(gè)突發(fā)寄存反饋?zhàn)x操作正在進(jìn)行。從設(shè)備將數(shù)據(jù)放到數(shù)據(jù)總線DAT_I()。

在時(shí)鐘上升沿0,主設(shè)備將DAT_I()采樣,并更新地址總線ADR_O(),同時(shí)將CTI_O()置為3’b111表示本次突發(fā)讀操作即將結(jié)束。從設(shè)備根據(jù)時(shí)鐘上升沿0采樣到的CTI_O()的值(不等于3’b111)知道操作還在進(jìn)行,因此仍然將ACK_I置高,并在數(shù)據(jù)總線DAT_I()放上新的數(shù)據(jù)。

在時(shí)鐘上升沿1,主設(shè)備將DAT_I()采樣完成整個(gè)突發(fā)讀操作,同時(shí)主設(shè)備將新地址信號(hào)放到地址總線ADR_O()上,將新數(shù)據(jù)信號(hào)放到數(shù)據(jù)總線DAT_O()上,將WE_O置為高表示寫操作,CTI_O()置為3’b111表示本次寫操作只進(jìn)行一次。由于從設(shè)備根據(jù)CTI_O()為3’b111知道整個(gè)突發(fā)讀操作結(jié)束,而不了解主設(shè)備將發(fā)起寫操作,因此將ACK_I置低。

在時(shí)鐘上升沿2,從設(shè)備檢測(cè)到主設(shè)備的寫操作,并將數(shù)據(jù)DAT_O()采樣,同時(shí)將ACK_I置高。

在時(shí)鐘上升沿3,主設(shè)備將CYC_O和STB_O置低表示操作結(jié)束,從設(shè)備將ACK_I置低。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1673

    瀏覽量

    130959
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2817

    瀏覽量

    87707
  • Wishbone
    +關(guān)注

    關(guān)注

    0

    文章

    16

    瀏覽量

    10405

原文標(biāo)題:【博文連載】Wishbone總線突發(fā)結(jié)束方式

文章出處:【微信號(hào):ChinaAET,微信公眾號(hào):電子技術(shù)應(yīng)用ChinaAET】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    wishbone總線

    想請(qǐng)教各位前輩,wishbone在工作中很常用嗎?我最近在學(xué)關(guān)于這個(gè)的代碼,感覺很難懂,而時(shí)間又感覺不大夠用,需要重點(diǎn)攻擊, 所以想知道是否值得投入大量的時(shí)間去研究它。望各位前輩不吝賜教
    發(fā)表于 03-02 23:37

    基于WISHBONE總線的FLASH閃存接口設(shè)計(jì)

    WISHBONE總線,所以本設(shè)計(jì)的接口具有可移植性。 Am29LV160D芯片特點(diǎn)Am29LV160D是一種僅需采用3.0V電源進(jìn)行讀寫的閃存。該器件提供了70ns、90ns、120ns讀取時(shí)間,無需高速微處理器
    發(fā)表于 12-05 10:35

    突發(fā)功率測(cè)量的兩種方法

    對(duì)于無線信號(hào)功率測(cè)試來說,TDMA信號(hào)、Bluetooth藍(lán)牙信號(hào)或者雷達(dá)脈沖信號(hào)都是基于時(shí)域中周期性重復(fù)的突發(fā)結(jié)構(gòu)來實(shí)現(xiàn)的。與連續(xù)平穩(wěn)信號(hào)的功率測(cè)量不同,這種突發(fā)信號(hào)的功率測(cè)量受到頻譜分析儀捕獲時(shí)間的影響,相對(duì)來說比較復(fù)雜,突發(fā)
    發(fā)表于 06-10 07:31

    基于Wishbone片上總線的IP核的互聯(lián)

    以 FPGA 技術(shù)為基礎(chǔ),以Verilog HDL 為載體,設(shè)計(jì)了遵守Wishbone 片上總線規(guī)范的IP 核接口,實(shí)現(xiàn)了片上系統(tǒng)的IP 核互聯(lián)。
    發(fā)表于 01-13 15:09 ?13次下載

    基于Wishbone總線的UART IP核設(shè)計(jì)

    本文介紹的基于Wishbone總線的UART IP核的設(shè)計(jì)方法,通過驗(yàn)證表明了各項(xiàng)功能達(dá)到預(yù)期要求,為IP核接口的標(biāo)準(zhǔn)化設(shè)計(jì)提供了依據(jù)。此外,該IP核代碼全部采用模塊化的Verilog-HDL語言編寫,
    發(fā)表于 06-10 11:47 ?3671次閱讀
    基于<b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>的UART IP核設(shè)計(jì)

    基于WISHBONE總線的FLASH閃存接口設(shè)計(jì)

    本文簡(jiǎn)要介紹了AMD 公司Am29LV160D 芯片的特點(diǎn),并對(duì)WISHBONE總線作了簡(jiǎn)單的介紹,詳細(xì)說明了FLASH memory 與WISHBONE 總線的硬件接口設(shè)計(jì)及部分Ve
    發(fā)表于 06-23 16:32 ?18次下載
    基于<b class='flag-5'>WISHBONE</b><b class='flag-5'>總線</b>的FLASH閃存接口設(shè)計(jì)

    基于WISHBONE總線的通用接口控制器

    通用IO接口是Soc系統(tǒng)中非常重要的一種外圍端口.本文完成了一種基于WISHBONE總線的GPIO_W B拉制器的邏拜設(shè)計(jì)和物理實(shí)現(xiàn).文中較其體地介紹了GPIO_W B核的體系結(jié)構(gòu)以及WISHBONE接Q和DMA傳偷方式
    發(fā)表于 09-21 16:57 ?32次下載
    基于<b class='flag-5'>WISHBONE</b><b class='flag-5'>總線</b>的通用接口控制器

    Wishbone總線實(shí)現(xiàn)UART IP核設(shè)計(jì)

    該設(shè)計(jì)采用了自頂向下的模塊化劃分和有限狀態(tài)機(jī)相結(jié)合的方法,由于其應(yīng)用了標(biāo)準(zhǔn)的Wishbone總線接口,從而使微機(jī)系統(tǒng)與串行設(shè)備之間的通信更加靈活方便。驗(yàn)證結(jié)果表明,這種新的架構(gòu)
    發(fā)表于 10-19 15:01 ?27次下載
    <b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>實(shí)現(xiàn)UART IP核設(shè)計(jì)

    基于FPGA的SDX總線Wishbone總線接口設(shè)計(jì)

    介紹了基于硬件描述語言Verilog HDL設(shè)計(jì)的SDX總線Wishbone總線接口轉(zhuǎn)化的設(shè)計(jì)與實(shí)現(xiàn),并通過Modelsim進(jìn)行功能仿真,在QuartusⅡ軟件平臺(tái)上綜合,最終在Altera公司的CycloneⅢ系列FPGA上
    發(fā)表于 01-11 10:21 ?25次下載
    基于FPGA的SDX<b class='flag-5'>總線</b>與<b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>接口設(shè)計(jì)

    基于AMBA與WISHBONE的SoC總線橋KBar控制器的設(shè)計(jì)

    基于AMBA與WISHBONE的SoC總線橋KBar控制器的設(shè)計(jì)_陳俊銳
    發(fā)表于 03-19 11:31 ?0次下載

    SRAM 72-Mbit QDR? II SRAM 2 字突發(fā)結(jié)構(gòu)

    SRAM 72-Mbit QDR? II SRAM 2 字突發(fā)結(jié)構(gòu)
    發(fā)表于 10-10 08:58 ?12次下載
    SRAM 72-Mbit QDR? II SRAM 2 字<b class='flag-5'>突發(fā)結(jié)</b>構(gòu)

    Wishbone一般總線規(guī)范的共同特點(diǎn)

    支持用戶定義的標(biāo)簽。這些標(biāo)簽可以用于為地址、數(shù)據(jù)總線提供額外的信息如奇偶校驗(yàn),為總線周期提供額外的信息如中斷向量、緩存控制操作的類型等。Wishbone規(guī)范只定義標(biāo)簽的時(shí)序,而標(biāo)簽的具體含義用戶可自行定義。支持用戶定義的標(biāo)簽是
    的頭像 發(fā)表于 07-06 08:07 ?2894次閱讀
    <b class='flag-5'>Wishbone</b>一般<b class='flag-5'>總線</b>規(guī)范的共同特點(diǎn)

    Wishbone寄存反饋周期結(jié)束方式方式

    不支持CTI_O()的主設(shè)備必須將該信號(hào)置為3’b000或者3’b111,這兩個(gè)是等價(jià)的。主設(shè)備和從設(shè)備可以支持這些突發(fā)模式中的一部分,對(duì)于不支持的突發(fā)模式,主設(shè)備必須將CTI_O()置為3’b000,從設(shè)備必須將CTI_I()理解為3’b000。
    的頭像 發(fā)表于 07-25 08:56 ?2713次閱讀
    <b class='flag-5'>Wishbone</b>寄存反饋周期<b class='flag-5'>結(jié)束</b>方式方式

    Wishbone總線的主要特征概括

    在以上介紹的三種總線中,CoreConnect雖免費(fèi)不過需要IBM 公司許可,ARM 沒有明確的正式說法,可能也會(huì)免費(fèi),而Wishbone 是絕對(duì)免費(fèi)的。三種總線都是同步的總線,使用時(shí)
    的頭像 發(fā)表于 08-11 09:14 ?4672次閱讀
    <b class='flag-5'>Wishbone</b><b class='flag-5'>總線</b>的主要特征概括

    Wishbone II交易總線:速度的另一個(gè)等級(jí)

    Wishbone B.3總線。以類似的方式,Altera引入了自己的互連方案,稱為Avalon Bus,SOPC Builder和Nios(II)系統(tǒng)就是圍繞該方案制造的。Xilinx 還推出了自己的總線,稱為片上外設(shè)
    的頭像 發(fā)表于 11-14 15:38 ?1102次閱讀
    <b class='flag-5'>Wishbone</b> II交易<b class='flag-5'>總線</b>:速度的另一個(gè)等級(jí)