0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何節(jié)省FPGA編譯時間?

DIri_ALIFPGA ? 來源:未知 ? 作者:易水寒 ? 2018-08-04 09:16 ? 次閱讀

FPGA到最后自然是規(guī)模越來越大,編譯時間越來越長。解決問題的方法通常來說應(yīng)該從工具和設(shè)計入手。

先把模塊分好,port上能用REG隔離最好,盡量切斷跨模塊的組合邏輯。把一個模塊的大小控制在中度規(guī)模,調(diào)試時一個模塊一個模塊來,調(diào)通的模塊都用edf網(wǎng)表代替,節(jié)省綜合時間。

在P&R階段,看模塊的功能,可以設(shè)置各個模塊的優(yōu)化策略,低速小面積的就放松了布。在調(diào)試時,如果改動不大,就用增量式編譯,保留上次PnR結(jié)果作為參考。

當然,對于FPGA綜合和map以及P&R來講,邏輯代碼寫的越"容易讓工具理解",編譯速度越快,當然這個怎么能更容易讓工具理解是需要水平的。

這里對map這里編譯的時間影響最大,P&R主要受時序約束是否緊張有影響,當然代碼實現(xiàn)對于速度也有最直接的影響。

可以總結(jié)一下,要想提高編譯速度,小編認為首先應(yīng)對邏輯設(shè)計進行優(yōu)化,第二是合理利用工具對工程進行約束,比如邏輯鎖定,區(qū)域分割等,前提是滿足時序的情況下。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598898
  • 編譯
    +關(guān)注

    關(guān)注

    0

    文章

    646

    瀏覽量

    32668
  • Port
    +關(guān)注

    關(guān)注

    1

    文章

    20

    瀏覽量

    13197

原文標題:節(jié)省編譯時間

文章出處:【微信號:ALIFPGA,微信公眾號:FPGA極客空間】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    淺析可提升Vivado編譯效率的增量編譯方法

    增量編譯:使用增量編譯滿足最后時刻 HDL 變動需求,僅針對已變動邏輯進行布局布線,從而可節(jié)省時間。
    的頭像 發(fā)表于 12-13 10:14 ?5104次閱讀

    如何大幅縮短FPGA編譯時間?

    相關(guān)EDA軟件的性能滯后所帶來的開發(fā)效率相對降低而苦惱不已,尤其是對大容量FPGA芯片動輒10到20個小時的編譯時間可謂怨氣沖天。筆者在許多次面對一線的FPGA工程師時,都聽到了這樣類
    發(fā)表于 11-11 07:03

    美國Electric Cloud公司在中國舉辦軟件編譯加速解決方案研討會

    Electric Accelerator使用服務(wù)器集群執(zhí)行精確的并行編譯,相對于串行編譯,顯著減少編譯時間節(jié)省費用,提高軟件開發(fā)的生產(chǎn)力。
    發(fā)表于 01-28 08:05 ?1024次閱讀
    美國Electric Cloud公司在中國舉辦軟件<b class='flag-5'>編譯</b>加速解決方案研討會

    誰能縮短大容量FPGA編譯時間?增量式編譯QIC!

    增量式編譯(Incremental Compilation)是ALTERA為解決大容量FPGA設(shè)計編譯時間太長的問題給出的一個新式工具!在本文中我們將闡述QIC在縮短
    發(fā)表于 12-25 11:26 ?4807次閱讀

    基于FPGA的3B4B編譯碼電路

    基于FPGA的3B4B編譯碼電路
    發(fā)表于 02-07 14:58 ?11次下載

    基于FPGA處理器的C編譯指令

    通?;趥鹘y(tǒng)處理器的C是串行執(zhí)行,本文介紹Xilinx Vivado-HLS基于FPGA與傳統(tǒng)處理器對C編譯比較,差別。對傳統(tǒng)軟件工程師看來C是串行執(zhí)行,本文將有助于軟件工程師理解
    發(fā)表于 11-18 12:23 ?2593次閱讀
    基于<b class='flag-5'>FPGA</b>處理器的C<b class='flag-5'>編譯</b>指令

    Altera交付14.0版Quartus II軟件,其編譯時間業(yè)界最快

    2014年7月1號,北京Altera公司(Nasdaq: ALTR)今天發(fā)布Quartus II軟件14.0版FPGA業(yè)界性能和效能首屈一指的軟件。Altera的這一最新版軟件編譯時間比競爭設(shè)計工
    的頭像 發(fā)表于 02-11 13:37 ?4736次閱讀

    Maxim外設(shè)模塊極限節(jié)省您的設(shè)計時間和成本!

    Maxim外設(shè)模塊借助多種便利的模擬和混合信號功能節(jié)省設(shè)計時間和成本。這些模塊可以很容易地插入配置為Pmod?的任意FPGA/CPU擴展端口。 此外還提供模塊軟件支持,包括用于三個主流FPG
    的頭像 發(fā)表于 06-22 11:00 ?3652次閱讀

    FPGA自動符號生成節(jié)省PCB設(shè)計創(chuàng)建時間

    FPGA的I / O優(yōu)化提供了一個自動化的FPGA符號生成過程集成的原理圖和PCB設(shè)計,節(jié)省天的PCB設(shè)計創(chuàng)建時間的整體質(zhì)量和準確性,同時增加你的原理圖符號。
    的頭像 發(fā)表于 10-16 07:06 ?2456次閱讀

    過壓保護電路節(jié)省了一天的時間

    過壓保護電路節(jié)省了一天的時間
    發(fā)表于 04-22 11:00 ?15次下載
    過壓保護電路<b class='flag-5'>節(jié)省</b>了一天的<b class='flag-5'>時間</b>

    節(jié)省編譯時間系列-使用增量實現(xiàn)

    增量實現(xiàn)自從首次獲得支持以來,不斷升級演變,在此過程中已添加了多項針對性能和編譯時間的增強功能。
    的頭像 發(fā)表于 09-01 09:36 ?541次閱讀
    <b class='flag-5'>節(jié)省</b><b class='flag-5'>編譯</b><b class='flag-5'>時間</b>系列-使用增量實現(xiàn)

    利用Tcl腳本節(jié)省編譯時間

    這篇博文介紹了多種自動生成報告的有效途徑,以便您在嘗試對設(shè)計中特定階段所耗用的編譯時間進行調(diào)試時使用,例如,自動報告加載設(shè)計約束的時間、每條命令的持續(xù)時間,甚至是跨多個設(shè)計的運行
    的頭像 發(fā)表于 09-15 10:44 ?625次閱讀
    利用Tcl腳本<b class='flag-5'>節(jié)省</b><b class='flag-5'>編譯</b><b class='flag-5'>時間</b>

    節(jié)省編譯時間的解決方法

    影響編譯時間的因素有很多,包括工具流程、工具設(shè)置選項、RTL 設(shè)計、約束編輯、目標器件以及設(shè)計實現(xiàn)期間各工具所面臨的任何關(guān)鍵問題。除此之外,所使用的機器及其負載也是關(guān)鍵因素。在這篇博客中,我們只探討
    的頭像 發(fā)表于 09-27 09:52 ?563次閱讀
    <b class='flag-5'>節(jié)省</b><b class='flag-5'>編譯</b><b class='flag-5'>時間</b>的解決方法

    Vivado那些事兒:節(jié)省編譯時間系列文章

    雖然想必您知道,在綜合或?qū)崿F(xiàn)階段,增量運行可以從參考文件中讀取和復制信息,但僅在某些階段中能節(jié)省時間,如果網(wǎng)表發(fā)生大量更改,其中引用的內(nèi)容就會減少,編譯時間也會受到相應(yīng)影響。
    的頭像 發(fā)表于 10-09 16:48 ?2243次閱讀
    Vivado那些事兒:<b class='flag-5'>節(jié)省</b><b class='flag-5'>編譯</b><b class='flag-5'>時間</b>系列文章

    淺談Vivado編譯時間

    隨著FPGA規(guī)模的增大,設(shè)計復雜度的增加,Vivado編譯時間成為一個不可回避的話題。尤其是一些基于SSI芯片的設(shè)計,如VU9P/VU13P/VU19P等,布局布線時間更是顯著增加。當
    的頭像 發(fā)表于 09-18 10:43 ?104次閱讀
    淺談Vivado<b class='flag-5'>編譯</b><b class='flag-5'>時間</b>