0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

探討國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)的新機(jī)遇

cMdW_icsmart ? 來(lái)源:未知 ? 作者:胡薇 ? 2018-08-21 16:02 ? 次閱讀

本文具備三大亮點(diǎn):一是從半導(dǎo)體基礎(chǔ)制造工藝入手,系統(tǒng)解釋了26種半導(dǎo)體設(shè)備的應(yīng)用環(huán)節(jié)及具體功能;二是在《2016年中國(guó)集成電路芯片制造業(yè)的狀況研究》一文的基礎(chǔ)上,統(tǒng)計(jì)了國(guó)內(nèi)截止到2018年7月的8英寸、12英寸硅片廠及晶圓廠投產(chǎn)計(jì)劃;三是系統(tǒng)測(cè)算了三大工藝環(huán)節(jié)的半導(dǎo)體細(xì)分設(shè)備的分年度市場(chǎng)空間。

半導(dǎo)體設(shè)備強(qiáng)者為王,國(guó)產(chǎn)企業(yè)實(shí)力仍然偏弱。受全球經(jīng)濟(jì)復(fù)蘇及中國(guó)大陸半導(dǎo)體產(chǎn)業(yè)快速跟進(jìn)驅(qū)動(dòng),2017年全球半導(dǎo)體設(shè)備市場(chǎng)規(guī)模566.2億美元,較2016年大幅增長(zhǎng)37.3%。從市場(chǎng)競(jìng)爭(zhēng)格局來(lái)看,行業(yè)集中度高TOP4市占率>59%,TOP10市占率>73%;在光刻機(jī),刻蝕機(jī),CVD、PVD設(shè)備等核心設(shè)備中TOP3市占率分別為92.8%、90.5%、70%、96.2%。從國(guó)內(nèi)來(lái)看,雖然中國(guó)大陸是全球半導(dǎo)體設(shè)備第三大市場(chǎng),但是2017年國(guó)產(chǎn)半導(dǎo)體集成電路設(shè)備國(guó)內(nèi)市占率僅為4%,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)整體實(shí)力仍然偏弱。

三大因素齊發(fā)力,國(guó)產(chǎn)半導(dǎo)體設(shè)備迎發(fā)展良機(jī)。我們認(rèn)為國(guó)產(chǎn)半導(dǎo)體設(shè)備正處于發(fā)展的機(jī)遇期,主要基于三點(diǎn)原因:①受汽車電子以及工業(yè)互聯(lián)網(wǎng)等新興領(lǐng)域的需求帶動(dòng),半導(dǎo)體行業(yè)發(fā)展有望持續(xù)復(fù)蘇;②國(guó)家政策持續(xù)加碼,國(guó)家集成電路產(chǎn)業(yè)投資基金第二期正在籌資,國(guó)產(chǎn)企業(yè)有望充分受益;③硅片廠和晶圓廠產(chǎn)能擴(kuò)張疊加技術(shù)迭代,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)有望在8英寸半導(dǎo)體設(shè)備實(shí)現(xiàn)突圍,縮短與外企的差距。

2018-19年國(guó)內(nèi)半導(dǎo)體設(shè)備年均市場(chǎng)規(guī)模接近2000億。從晶圓制造設(shè)備來(lái)看,國(guó)內(nèi)半導(dǎo)體硅片供需缺口明顯,目前8英寸硅片產(chǎn)能對(duì)應(yīng)缺口為161.5萬(wàn)片/月,12英寸硅片產(chǎn)能對(duì)應(yīng)缺口為277.3萬(wàn)片/月。我們基于當(dāng)前硅片廠投產(chǎn)計(jì)劃測(cè)算國(guó)內(nèi)晶圓制造設(shè)備2018-2020年市場(chǎng)規(guī)模分別為153、290、27億元。晶圓加工設(shè)備與封測(cè)設(shè)備存在配套關(guān)系,基于當(dāng)前晶圓廠投產(chǎn)計(jì)劃測(cè)算國(guó)內(nèi)晶圓加工設(shè)備2018-2020年市場(chǎng)規(guī)模分別為1483、1301、331億元;封裝測(cè)試設(shè)備2018-2020年市場(chǎng)規(guī)模分別為300、263、67億元。

給予半導(dǎo)體設(shè)備行業(yè)推薦評(píng)級(jí)。自上而下,在下游新興領(lǐng)域需求刺激以及政策助推下,國(guó)內(nèi)硅片廠和晶圓廠迎來(lái)擴(kuò)產(chǎn)潮,2018-19年國(guó)內(nèi)半導(dǎo)體設(shè)備年均市場(chǎng)規(guī)模接近2000億。同時(shí)受益半導(dǎo)體產(chǎn)線技術(shù)迭代以及大基金重點(diǎn)扶持,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)迎來(lái)發(fā)展機(jī)遇期。自下而上,關(guān)注細(xì)分領(lǐng)域龍頭標(biāo)的。半導(dǎo)體設(shè)備行業(yè)馬太效應(yīng)明顯,龍頭企業(yè)在這一輪發(fā)展機(jī)遇中更有望脫穎而出。重點(diǎn)推薦國(guó)產(chǎn)半導(dǎo)體設(shè)備龍頭北方華創(chuàng),半導(dǎo)體檢測(cè)設(shè)備龍頭長(zhǎng)川科技,半導(dǎo)體單晶爐龍頭晶盛機(jī)電;建議關(guān)注布局半導(dǎo)體檢測(cè)業(yè)務(wù)的精測(cè)電子以及布局半導(dǎo)體清洗設(shè)備及濕法刻蝕工藝的至純科技。

風(fēng)險(xiǎn)提示:半導(dǎo)體設(shè)備需求不及預(yù)期;國(guó)產(chǎn)半導(dǎo)體設(shè)備技術(shù)突破不及預(yù)期;行業(yè)政策支持力度不及預(yù)期;下游產(chǎn)能投產(chǎn)進(jìn)度不及預(yù)期;相關(guān)重點(diǎn)公司未來(lái)業(yè)績(jī)不及預(yù)期。

正文分析

1、半導(dǎo)體設(shè)備是什么?

1.1、半導(dǎo)體行業(yè)概述及產(chǎn)業(yè)鏈分析

半導(dǎo)體包括四類產(chǎn)品,分別是集成電路、光電子器件、分立器件、傳感器。2017年半導(dǎo)體行業(yè)市場(chǎng)規(guī)模為4122億美元,其中集成電路市場(chǎng)規(guī)模為3432億美元,占比為83.25%。

半導(dǎo)體集成電路是將晶體管、二極管等有源元件和電阻器、電容器等無(wú)源元件,按照一定的電路互聯(lián),集成在一塊半導(dǎo)體單晶片上,從而完成特定的電路或者系統(tǒng)功能。包括模擬電路(Analog)、微處理器(Micro)、邏輯電路(Logic)、儲(chǔ)存器(Memory)。

行業(yè)概況:受儲(chǔ)存器電路中的DRAM和NAND Flash漲價(jià)影響,2017年全球半導(dǎo)體行業(yè)銷售額同比增長(zhǎng)21.62%,首破4000億美元大關(guān),增速創(chuàng)自2010年以來(lái)的新高。

地域分布:2017年北美地區(qū)半導(dǎo)體銷售額為885億美元,同比增長(zhǎng)35%,增速居全球首位;亞太及其他地區(qū)銷售額為2488億美元,同比增長(zhǎng)13.3%,占全球市場(chǎng)總值的60.36%。從國(guó)內(nèi)來(lái)看,2017年中國(guó)半導(dǎo)體銷售額為1315億美元,同比增長(zhǎng)22.3%,占全球半導(dǎo)體市場(chǎng)比重為32%。

產(chǎn)業(yè)鏈構(gòu)成:半導(dǎo)體產(chǎn)業(yè)鏈包括上游的半導(dǎo)體支撐產(chǎn)業(yè),中游的半導(dǎo)體制造產(chǎn)業(yè)以及下游的半導(dǎo)體應(yīng)用產(chǎn)業(yè)。細(xì)分來(lái)看,半導(dǎo)體支撐產(chǎn)業(yè)包括半導(dǎo)體材料及半導(dǎo)體設(shè)備;中游的半導(dǎo)體制造的核心是集成電路制造,包括IC設(shè)計(jì)、IC制造以及IC封測(cè);下游的半導(dǎo)體應(yīng)用領(lǐng)域眾多,2017年全球半導(dǎo)體應(yīng)用領(lǐng)域排名前三的行業(yè)是通信智能手機(jī)(31.83%)、PC/平板(26.13%)、工業(yè)/醫(yī)療(14.51%)。

工藝流程占比:2017年國(guó)內(nèi)IC設(shè)計(jì)、IC制造、IC封測(cè)分別實(shí)現(xiàn)銷售收入2073.5、1448.1、1889.7億元,同比增長(zhǎng)26.1%、28.5%、20.8%,占整個(gè)集成電路市場(chǎng)規(guī)模比例分別為38%、27%、35%。與世界集成電路產(chǎn)業(yè)三業(yè)(設(shè)計(jì)、制造、封測(cè))結(jié)構(gòu)合理占比的3:4:3相比,國(guó)內(nèi)半導(dǎo)體產(chǎn)業(yè)呈現(xiàn)出了“兩頭強(qiáng),中間弱”的特點(diǎn),半導(dǎo)體設(shè)備行業(yè)的發(fā)展有待提高。

1.2、半導(dǎo)體設(shè)備的分類及功能

半導(dǎo)體設(shè)備是半導(dǎo)體行業(yè)的支撐行業(yè),主要應(yīng)用于IC制造(前端設(shè)備)、IC封測(cè)(后道設(shè)備)兩大領(lǐng)域。其中,IC制造設(shè)備又包括晶圓制造設(shè)備和晶圓加工設(shè)備。其中晶圓制造設(shè)備主要由硅片廠(如SUMCO、金瑞泓、上海新昇)進(jìn)行采購(gòu),最終產(chǎn)品為硅片;晶圓加工設(shè)備主要由代工廠(Foundry,如臺(tái)積電、中芯國(guó)際、上海長(zhǎng)虹)或IDM企業(yè)(如Intel、Samsung)進(jìn)行采購(gòu),最終產(chǎn)品為芯片;IC封測(cè)設(shè)備通常由專門的封測(cè)廠(如日月光、Amkor、長(zhǎng)電科技)進(jìn)行采購(gòu),包括揀選、測(cè)試、貼片、鍵合等多個(gè)環(huán)節(jié)。

晶圓制造設(shè)備

晶圓制造設(shè)備是通過(guò)對(duì)硅進(jìn)行加工從而制造出硅片的設(shè)備。美國(guó)典型的半導(dǎo)體公司都不會(huì)自己制造硅片,硅材料和硅片制備是由高度專業(yè)化工廠完成,生產(chǎn)出來(lái)的硅片提供給半導(dǎo)體制造商以制造各種各樣的芯片。晶圓制造設(shè)備包括以下9類設(shè)備:

①熔煉礦熱爐。主要功能是用碳加熱硅石獲得冶金級(jí)硅(純度98%)。

②CVD設(shè)備。通過(guò)化學(xué)反應(yīng)獲得半導(dǎo)體級(jí)硅(SGS,7-12個(gè)“9”的高純度),比如采取西門子法進(jìn)行化學(xué)反應(yīng)時(shí),將用到西門子反應(yīng)器。但該工藝生產(chǎn)后的硅沒(méi)有按照希望的晶體順序排列原子。

③單晶生長(zhǎng)爐。包括CZ法和區(qū)熔法,主要功能是將半導(dǎo)體級(jí)的多晶硅塊轉(zhuǎn)換成一大塊單晶硅,從而獲得滿足芯片制造所需的電學(xué)和機(jī)械性質(zhì)。目前主流是CZ法,其拉硅棒的直徑通常比區(qū)熔法大,但是區(qū)熔法由于不用坩堝,含氧量更低,純度更高。

④研磨機(jī)。應(yīng)用于兩個(gè)環(huán)節(jié):一是去掉硅棒兩端;二是切片后對(duì)表面進(jìn)行研磨。

⑤切片機(jī)。對(duì)硅錠進(jìn)行切片,獲得硅片,包括線切及砂漿切割。

⑥倒角機(jī)。對(duì)硅片邊緣修整,減少機(jī)械應(yīng)力產(chǎn)生的位錯(cuò)。

⑦刻蝕機(jī)。腐蝕硅片表面約20微米以抹掉邊緣損傷及玷污。

⑧拋光機(jī)。對(duì)刻蝕后的表面進(jìn)行拋光處理,表面平整度將影響到后續(xù)光刻。

⑨清洗機(jī)。使硅片在給芯片制造廠之前達(dá)到超凈的潔凈狀態(tài)。

晶圓加工設(shè)備

晶圓加工設(shè)備是指通過(guò)將硅片加工成芯片所需的設(shè)備。典型的集成電路硅片制造工藝要花費(fèi)6-8周時(shí)間,包括幾百甚至上千道步驟來(lái)完成制造工藝。從本質(zhì)上來(lái)看,集成電路是由晶體管(主要為場(chǎng)效應(yīng)管)及電路共同構(gòu)成,一切的工藝和設(shè)備流程的最終目的是在指甲蓋大小的范圍內(nèi)集成更多的晶體管并實(shí)現(xiàn)連接(如第四代 Intel CPU Haswell i7的晶體管數(shù)約為14億多)。

從設(shè)備端來(lái)看,晶圓加工廠可以分為6個(gè)獨(dú)立的生產(chǎn)區(qū):擴(kuò)散(包括氧化、膜淀積和摻雜工藝)、光刻、刻蝕、薄膜、離子注入、拋光。其中,擴(kuò)散區(qū)主要進(jìn)行高溫工藝及薄膜淀積,設(shè)備包括氧化爐、高溫?cái)U(kuò)散爐等;光刻區(qū)的目的是將電路圖形轉(zhuǎn)移到硅片表面的光刻膠上,然后通過(guò)深紫外線曝光來(lái)印制掩膜版的圖像,設(shè)備包括涂膠/顯影設(shè)備,光刻機(jī);刻蝕區(qū)是在沒(méi)有光刻膠保護(hù)的地方留下永久的圖形,設(shè)備包括刻蝕機(jī)、去膠機(jī)和濕法清洗設(shè)備;薄膜區(qū)是在生產(chǎn)各個(gè)步驟間的介質(zhì)層與金屬層的淀積,包括化學(xué)氣相沉積(CVD)和物理氣相沉積(PVD)設(shè)備等;離子注入?yún)^(qū)的主要設(shè)備為離子注入機(jī),是一種摻雜工具;拋光區(qū)主要作用是使硅片表面平坦化,主要設(shè)備為拋光機(jī)。

①氧化爐。主要是在硅片表面生長(zhǎng)一層氧化層(SiO2),由于硅是半導(dǎo)體而二氧化硅是絕緣體,因此是良好的介質(zhì)材料;同時(shí)二氧化硅具備保護(hù)器件劃傷和隔離玷污的作用。

②光刻機(jī)及涂膠/顯影設(shè)備。光刻的本質(zhì)是把臨時(shí)電路結(jié)構(gòu)復(fù)制到以后要進(jìn)行刻蝕和離子注入的硅片上。主要包括氣相成底膜、旋轉(zhuǎn)涂膠、軟烘、對(duì)準(zhǔn)和曝光、曝光后烘焙、顯影、堅(jiān)膜烘焙、顯影觀察等8個(gè)步驟。目前光刻機(jī)主要包括極紫外光刻技術(shù)(EUV)、角度限制投影電子光束光刻技術(shù)(SCALPEL)、離子束投影光刻技術(shù)(IPL)和X射線光刻技術(shù)。

③刻蝕機(jī)。一般來(lái)說(shuō),互聯(lián)材料淀積在硅片表面,然后有選擇地去除它,就形成了由光刻技術(shù)定義的電路圖形。這種有選擇性的去除材料叫刻蝕??涛g的對(duì)象包括鋁合金復(fù)合層(實(shí)現(xiàn)電學(xué)鏈接)、多晶硅柵(構(gòu)造晶體管中的柵極)等??涛g分為干法刻蝕和濕法刻蝕,前者的刻蝕劑是等離子體,后者的刻蝕劑主要是化學(xué)刻蝕液。影響刻蝕機(jī)性能的主要參數(shù)包括刻蝕速率、刻蝕剖面、刻蝕偏差、選擇比、均勻性、殘留物、聚合物形成、等離子體誘導(dǎo)損傷和顆粒沾污。

④高溫?cái)U(kuò)散爐。高溫?cái)U(kuò)散爐也是實(shí)現(xiàn)熱氧工藝的關(guān)鍵設(shè)備,包括臥式爐、立式爐和快速熱處理擴(kuò)散爐(RTP)。通常硅片進(jìn)行光刻前形成的二氧化硅稱為場(chǎng)氧,由氧化爐完成;利用多晶硅淀積形成柵極前的氧化稱為柵氧化硅,由高溫?cái)U(kuò)散爐完成。同時(shí),完成離子注入后的退火時(shí)也能用到高溫?cái)U(kuò)散爐,有助于修復(fù)晶格缺陷。

⑤離子注入設(shè)備。本征硅的導(dǎo)電性很差,因此需要摻入其他雜質(zhì),使其結(jié)構(gòu)和導(dǎo)電率發(fā)生變化,硅才能成為一個(gè)半導(dǎo)體。硅摻雜是制備半導(dǎo)體中pn結(jié)(pn結(jié)和前文中提到的柵極是晶體管的重要構(gòu)成)的基礎(chǔ),離子注入是最重要的摻雜方法。離子注入的兩個(gè)重要參數(shù)是劑量和射程。

⑥化學(xué)氣相沉積設(shè)備(CVD)?;瘜W(xué)氣相淀積是通過(guò)氣體混合的化學(xué)反應(yīng)在硅片表面淀積一層固體膜的工藝,應(yīng)用的工藝包括光刻前的氣相底成膜以及形成絕緣介質(zhì)層(如氮化硅)。CVD設(shè)備包括APCVD(常壓CVD)、LPCVD(低壓CVD)、等離子體增強(qiáng)CVD(PECVD)和高密度等離子體CVD(HDPCVD)。

⑦物理氣相沉積設(shè)備(PCD)。用于半導(dǎo)體制造業(yè)中的金屬化工藝被稱為物理氣相沉積,通過(guò)淀積一層金屬化薄膜,并輔助光刻及刻蝕的方法,從而在芯片上實(shí)現(xiàn)互聯(lián)金屬線和接觸孔或通孔鏈接。

⑧拋光機(jī)(CMP)。主要功能為獲得金屬和介質(zhì)層的局部和全局的平坦化,主要的方法包括反刻、玻璃回流和旋涂膜層。

⑨測(cè)試設(shè)備。包括探針臺(tái)和測(cè)試機(jī),根據(jù)探針測(cè)試芯片進(jìn)行接觸,測(cè)試機(jī)進(jìn)行測(cè)試。最終輸出結(jié)果為判斷一片硅片上的芯片成品率。

IC封測(cè)設(shè)備

IC封裝測(cè)試設(shè)備將加工好的晶圓進(jìn)行揀選、分片、封裝、測(cè)試進(jìn)而轉(zhuǎn)變成為獨(dú)立可用的電子元器件。封裝測(cè)試環(huán)節(jié)運(yùn)用到以下8類設(shè)備:

①減薄機(jī)。將加工好的晶圓進(jìn)行背面減薄,通常需減薄到8—10密耳,以降低硅片裝配過(guò)程中的熱應(yīng)力、減小硅片封裝后的尺寸。

②劃片機(jī)。將硅片切割為單個(gè)芯片,劃片后,根據(jù)晶圓檢測(cè)環(huán)節(jié)的檢測(cè)和揀選結(jié)果,芯片將被分類進(jìn)行下一個(gè)環(huán)節(jié),符合性能預(yù)期的芯片將進(jìn)行正式的封裝。劃片機(jī)通常采用金剛石刃作為切割工具。

③貼片機(jī)。將切割后性能符合預(yù)期的芯片背面粘貼到引線框架或基座上,最常用的粘貼方法是利用環(huán)氧樹脂進(jìn)行粘貼。

④焊線機(jī)。主要用于引線鍵合環(huán)節(jié),將芯片與引線框架進(jìn)行電連接。引線鍵合屬于封裝的一級(jí)互連環(huán)節(jié),常用的一級(jí)互聯(lián)方法有載帶自動(dòng)焊、倒裝焊、引線互聯(lián)。

⑤塑封機(jī)。將完成引線鍵合的芯片和引線框架完成包封,常用的塑料封裝方法有SOP、SIP、QFP,進(jìn)行塑封是為了對(duì)芯片和焊線進(jìn)行保護(hù)。

⑥切筋打彎?rùn)C(jī)。即將模塊式的引線框架切割成為單獨(dú)的塑封好的引線框架,之后將引腳成型,達(dá)到工藝需求要求的形狀。常見(jiàn)的引腳打彎形狀有海鷗形引腳、直插型引腳、J形引腳。

⑦分選機(jī)。分選機(jī)是將封裝后的芯片和測(cè)試機(jī)進(jìn)行連接的自動(dòng)化芯片運(yùn)輸和連接設(shè)備,通常需根據(jù)不同封裝形式進(jìn)行分選機(jī)設(shè)計(jì)。

⑧測(cè)試機(jī)。對(duì)接分選機(jī),對(duì)封裝后的芯片進(jìn)行電學(xué)性能測(cè)試。封裝后的測(cè)試是芯片制造環(huán)節(jié)最后的測(cè)試環(huán)節(jié),測(cè)試通過(guò)的芯片將直接交付給客戶。封裝過(guò)程對(duì)芯片可能存在一定的損壞,因此封裝后進(jìn)行芯片功能測(cè)試是非常必要的。

1.3、半導(dǎo)體設(shè)備行業(yè)發(fā)展概況

市場(chǎng)規(guī)模:2017年行業(yè)增長(zhǎng)迅速,韓國(guó)取代中國(guó)***成為第一大市場(chǎng)。2017年全球半導(dǎo)體設(shè)備市場(chǎng)規(guī)模566.2億美元,較2016年大幅增長(zhǎng)37.3%,創(chuàng)歷史新高,增速為近7年來(lái)的最高水平。主要原因有兩點(diǎn):一是從全球經(jīng)濟(jì)復(fù)蘇帶來(lái)半導(dǎo)體行業(yè)呈現(xiàn)增長(zhǎng)態(tài)勢(shì);二是中國(guó)大陸半導(dǎo)體產(chǎn)業(yè)的快速跟進(jìn)對(duì)全產(chǎn)業(yè)鏈形成刺激。

從地區(qū)貢獻(xiàn)來(lái)看,半導(dǎo)體設(shè)備市場(chǎng)需求與代工廠分布密切相關(guān)。2017年韓國(guó)為全球第一大半導(dǎo)體設(shè)備市場(chǎng),占比為32%;中國(guó)大陸為全球第三大半導(dǎo)體設(shè)備市場(chǎng),占比為15%。

分地區(qū)增速方面,2017年韓國(guó)半導(dǎo)體設(shè)備市場(chǎng)規(guī)模約180億美元,同比增長(zhǎng)133%,主要系三星成立半導(dǎo)體代工業(yè)務(wù)部門,芯片及芯片外包業(yè)務(wù)需求持續(xù)增長(zhǎng)。中國(guó)***半導(dǎo)體設(shè)備市場(chǎng)規(guī)模約115億美元,同比下滑6%,喪失連續(xù)五年的第一寶座,主要系***半導(dǎo)體所依賴增長(zhǎng)的PC市場(chǎng)進(jìn)入衰退期,智能手機(jī)增速趨緩。中國(guó)大陸半導(dǎo)體市場(chǎng)規(guī)模約82億美元,同比增長(zhǎng)27%。

競(jìng)爭(zhēng)格局:全球市場(chǎng)集中度高,國(guó)產(chǎn)企業(yè)差距明顯。根據(jù)SEMI統(tǒng)計(jì),2017年全球前十大IC設(shè)備企業(yè)合計(jì)營(yíng)收為414.8億美元,占全球市場(chǎng)比重為73%。其中,前四強(qiáng)與2016年一致,合計(jì)營(yíng)收占比為59%。

從細(xì)分產(chǎn)品來(lái)看,核心設(shè)備壟斷程度較高。在整個(gè)半導(dǎo)體設(shè)備市場(chǎng)中,晶圓加工設(shè)備大約占整體的80%,封裝及組裝設(shè)備大約占 7%,測(cè)試設(shè)備大約占 9%,其他設(shè)備大約占 4%。同時(shí)根據(jù)VLSI Research 2017年公布的數(shù)據(jù),晶圓加工設(shè)備中,擴(kuò)散設(shè)備、光刻設(shè)備、刻蝕設(shè)備、離子注入設(shè)備、薄膜沉積設(shè)備、前道檢測(cè)設(shè)備、拋光設(shè)備、清洗設(shè)備投資額占生產(chǎn)設(shè)備比例為1%、23%、30%、2%、25%、13%、4%、2%。

在市占率方面,2016年光刻機(jī),刻蝕機(jī),CVD、PVD設(shè)備的前三大設(shè)備供應(yīng)商市占率合計(jì)分別為92.8%、90.5%、70%、96.2%,壟斷程度較高。

國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)實(shí)力仍然偏弱。根據(jù)Gartner 數(shù)據(jù)顯示,全球列入統(tǒng)計(jì)的規(guī)模以上晶圓制造設(shè)備商共計(jì)58 家,其中日本企業(yè)最多,數(shù)量達(dá)到21 家,占比為36%。其次是歐洲的13 家、北美10 家、韓國(guó)7 家。中國(guó)大陸僅4 家納入統(tǒng)計(jì),按數(shù)量統(tǒng)計(jì)占比不到 7%,國(guó)產(chǎn)半導(dǎo)體設(shè)備公司整體實(shí)力偏弱。

同時(shí),中國(guó)雖然是全球半導(dǎo)體設(shè)備第三大市場(chǎng),但是2017年國(guó)產(chǎn)半導(dǎo)體集成電路設(shè)備國(guó)內(nèi)市占率僅為4%,且國(guó)產(chǎn)設(shè)備基本上都是銷售到國(guó)家投資的集成電路生產(chǎn)線,比如中芯國(guó)際、長(zhǎng)江存儲(chǔ)、上海華虹、華力微。外商投資的公司普遍采用進(jìn)口設(shè)備。

根據(jù)中國(guó)電子專用設(shè)備協(xié)會(huì)統(tǒng)計(jì),2017年中國(guó)半導(dǎo)體設(shè)備前三強(qiáng)分別是晶盛機(jī)電、電科裝備、捷佳偉創(chuàng),其中主營(yíng)業(yè)務(wù)為集成電路設(shè)備的前三強(qiáng)分別是中微半導(dǎo)體、北方華創(chuàng)、上海微電子,2016年?duì)I收規(guī)模分別為6.87、4.85、2.90億元。

2、三大因素齊發(fā)力,國(guó)產(chǎn)半導(dǎo)體設(shè)備迎發(fā)展良機(jī)

我們認(rèn)為國(guó)產(chǎn)半導(dǎo)體設(shè)備正處于發(fā)展的機(jī)遇期,主要基于三點(diǎn)原因:①受汽車電子以及工業(yè)互聯(lián)網(wǎng)等新興領(lǐng)域的需求帶動(dòng),半導(dǎo)體行業(yè)發(fā)展有望持續(xù)復(fù)蘇;②國(guó)家政策持續(xù)加碼,國(guó)家集成電路產(chǎn)業(yè)投資基金(以下簡(jiǎn)稱大基金)第二期正在籌資,國(guó)產(chǎn)企業(yè)有望充分受益;③硅片廠和晶圓廠產(chǎn)能擴(kuò)張疊加技術(shù)迭代,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)有望在8英寸半導(dǎo)體設(shè)備實(shí)現(xiàn)突圍,縮短與外企的差距。

2.1、下游需求亮點(diǎn)頻現(xiàn),半導(dǎo)體行業(yè)有望持續(xù)復(fù)蘇

從長(zhǎng)期來(lái)看,隨著下游應(yīng)用多點(diǎn)開花,半導(dǎo)體行業(yè)發(fā)展有望增添新動(dòng)力。其中,以工業(yè)互聯(lián)網(wǎng)、物聯(lián)網(wǎng)人工智能、汽車電子、5G為主體的半導(dǎo)體新興應(yīng)用預(yù)計(jì)將形成良好的需求共振,全球半導(dǎo)體行業(yè)發(fā)展將步入機(jī)遇期。

①工業(yè)互聯(lián)網(wǎng)領(lǐng)域。2017年12月27日,國(guó)務(wù)院印發(fā)《關(guān)于深化“互聯(lián)網(wǎng)+先進(jìn)制造業(yè)”發(fā)展工業(yè)互聯(lián)網(wǎng)的指導(dǎo)意見(jiàn)》,成為指導(dǎo)工業(yè)互聯(lián)網(wǎng)發(fā)展的綱領(lǐng)性文件;此次專項(xiàng)工作組的成立,旨在貫徹落實(shí)《指導(dǎo)意見(jiàn)》,加強(qiáng)對(duì)有關(guān)工作的統(tǒng)籌規(guī)劃和政策協(xié)調(diào);此外2018年6月8日,工信部印發(fā)《工業(yè)互聯(lián)網(wǎng)發(fā)展行動(dòng)計(jì)劃(2018-2020年)》,計(jì)劃于2020年底建成5個(gè)左右標(biāo)識(shí)解析國(guó)家頂級(jí)節(jié)點(diǎn),標(biāo)識(shí)注冊(cè)量超過(guò)20億。

在這一背景下,工業(yè)互聯(lián)網(wǎng)行業(yè)正迎來(lái)發(fā)展大時(shí)代;據(jù)中國(guó)工業(yè)互聯(lián)網(wǎng)產(chǎn)業(yè)聯(lián)盟測(cè)算,2017年我國(guó)工業(yè)互聯(lián)網(wǎng)直接產(chǎn)業(yè)規(guī)模約為5700億元,預(yù)計(jì)2017年到2019年,產(chǎn)業(yè)規(guī)模將以18%的年均增速高速增長(zhǎng),到2020年將達(dá)到萬(wàn)億元量級(jí)。芯片技術(shù)與傳感器技術(shù)是工業(yè)互聯(lián)網(wǎng)行業(yè)發(fā)展的重要支柱,有望充分受益行業(yè)

②物聯(lián)網(wǎng)領(lǐng)域。2010年10月18日,國(guó)務(wù)院發(fā)布《國(guó)務(wù)院關(guān)于加快培育和發(fā)展戰(zhàn)略性新興產(chǎn)業(yè)的決定》,將物聯(lián)網(wǎng)作為國(guó)家首批加快培育戰(zhàn)略性新型產(chǎn)業(yè)。2011年-2017年,物聯(lián)網(wǎng)發(fā)展迅速,市場(chǎng)規(guī)模自2633億元迅速增加至11605億元。根據(jù)《信息通信行業(yè)“十三五”發(fā)展規(guī)劃物聯(lián)網(wǎng)分冊(cè)》,預(yù)計(jì)2020年國(guó)內(nèi)物聯(lián)網(wǎng)總體產(chǎn)業(yè)規(guī)模將突破1.5萬(wàn)億,公眾網(wǎng)絡(luò)M2M連接數(shù)將突破17億。

物聯(lián)網(wǎng)是以互聯(lián)網(wǎng)為基礎(chǔ)實(shí)現(xiàn)的物物相息,智能感知、智能識(shí)別等技術(shù)是實(shí)現(xiàn)物物相息的基礎(chǔ),與之相對(duì)應(yīng)的安全芯片、通訊射頻芯片、身份識(shí)別芯片、移動(dòng)支付芯片需求有望得到提升。

③人工智能領(lǐng)域。人工智能或稱機(jī)器學(xué)習(xí),是在面臨海量數(shù)據(jù)時(shí)做到舉一反三,通過(guò)大數(shù)據(jù)訓(xùn)練能夠?qū)ふ覕?shù)據(jù)與結(jié)果之間的內(nèi)在關(guān)聯(lián),并形成新的洞察力以幫助企業(yè)進(jìn)行最優(yōu)決策。2017年7月、12月,國(guó)務(wù)院和工信部接連發(fā)布《新一代人工智能發(fā)展規(guī)劃的通知》、《促進(jìn)新一代人工智能產(chǎn)業(yè)發(fā)展三年行動(dòng)計(jì)劃(2018-2020年)的通知》,為我國(guó)人工智能發(fā)展提供政策保障。規(guī)劃表示到2020年我國(guó)人工智能核心產(chǎn)業(yè)規(guī)模超過(guò)1500億元,帶動(dòng)相關(guān)產(chǎn)業(yè)規(guī)模超過(guò)1萬(wàn)億元。根據(jù)中國(guó)信息通信研究院發(fā)布的《2017年中國(guó)人工智能產(chǎn)業(yè)數(shù)據(jù)報(bào)告》,2017年,我國(guó)人工智能市場(chǎng)規(guī)模達(dá)到了216.9億元,比2016年增長(zhǎng)了52.8%,預(yù)計(jì)2018年市場(chǎng)規(guī)模有望達(dá)到339億元,增速達(dá)到56.3%。

④汽車電子領(lǐng)域。汽車電子化是汽車技術(shù)發(fā)展進(jìn)程中的一次革命,主要應(yīng)用為汽車電子控制裝置和車載汽車電子裝置。在國(guó)內(nèi)汽車電子化最為顯著的代表是汽車的電動(dòng)化,2012-2017年,國(guó)內(nèi)電動(dòng)汽車產(chǎn)量的復(fù)合年均增長(zhǎng)率達(dá)79.16%。2016年11月29日,國(guó)務(wù)院印發(fā)《‘十三五’國(guó)家戰(zhàn)略性新興產(chǎn)業(yè)發(fā)展規(guī)劃》,提出重點(diǎn)發(fā)展新能源汽車,到2020年實(shí)現(xiàn)當(dāng)年純電動(dòng)汽車和插電式混合動(dòng)力汽車的產(chǎn)銷量達(dá)200萬(wàn)輛以上,相較2017年將增加200.03%。目前我國(guó)電動(dòng)汽車電機(jī)電控核心組件IGBT芯片98%采用國(guó)外進(jìn)口,在國(guó)內(nèi)汽車電子化蓬勃發(fā)展的過(guò)程中,汽車芯片的國(guó)產(chǎn)替代將存在較大的需求。

⑤5G領(lǐng)域。工信部2017年1月17日印發(fā)《信息通信行業(yè)發(fā)展規(guī)劃(2016-2020)》,明確5G是我國(guó)信息通信行業(yè)十三五期間重點(diǎn)研發(fā)和規(guī)劃工程,將在十三五期間構(gòu)建5G試商用網(wǎng)絡(luò),打造系統(tǒng)、芯片、終端、儀表等完整產(chǎn)業(yè)鏈。同時(shí)國(guó)家發(fā)改委于2018年2月23日發(fā)布《2018年新一代信息基礎(chǔ)設(shè)施建設(shè)工程擬支持項(xiàng)目名單》進(jìn)一步為推進(jìn)5G商用加碼。中國(guó)移動(dòng)、中國(guó)聯(lián)通和電信則緊跟規(guī)劃腳步,計(jì)劃將于2018年在上海、杭州、深圳等16城市進(jìn)行試點(diǎn)商用。目前聯(lián)發(fā)科、臺(tái)積電等均在進(jìn)行5G芯片研發(fā),5G的應(yīng)用推進(jìn)將在移動(dòng)智能設(shè)備端帶來(lái)革命性的更新需求。根據(jù)英飛凌預(yù)測(cè),到2020年,全球?qū)⒂?00億臺(tái)設(shè)備進(jìn)行5G連接。

2.2、“02專項(xiàng)”+大基金助力,政策紅利持續(xù)落地

半導(dǎo)體行業(yè)作為支撐經(jīng)濟(jì)社會(huì)發(fā)展和保障國(guó)家安全的戰(zhàn)略性、基礎(chǔ)性和先導(dǎo)性產(chǎn)業(yè),一直受到國(guó)家的關(guān)注和重點(diǎn)扶持。國(guó)家先后通過(guò)2000年的18號(hào)文,2011年的4號(hào)文、2008年科技部啟動(dòng)的02專項(xiàng)、2014年的《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》、2015年的《中國(guó)制造2025》等一系列文件對(duì)半導(dǎo)體進(jìn)行支持,政策力度大,且具備連貫性。

在眾多政策支持手段中,“02專項(xiàng)”和大基金是兩大亮點(diǎn),本文予以重點(diǎn)分析。

“02專項(xiàng)”:已取得階段性進(jìn)展

2008年,科技部和信產(chǎn)部啟動(dòng)了“極大規(guī)模集成電路制造裝備及成套工藝”項(xiàng)目,以專項(xiàng)的形式組織了一批國(guó)內(nèi)半導(dǎo)體設(shè)備公司進(jìn)行了一系列重點(diǎn)工藝和技術(shù)的攻關(guān),包括 45-22 納米關(guān)鍵制造裝備攻關(guān),開發(fā) 32-22 納米 CMOS 工藝、 90-65 納米特色工藝,開展 20-14 納米前瞻性研究,形成 65-45納米裝備、材料、工藝配套能力及集成電路制造產(chǎn)業(yè)鏈,進(jìn)一步縮小與世界先進(jìn)水平差距。

通過(guò)“02專項(xiàng)”的扶持,國(guó)內(nèi)誕生了北方華創(chuàng)、中微半導(dǎo)體、上海微電子等一批半導(dǎo)體設(shè)備生產(chǎn)領(lǐng)軍者,并形成了 65-45 納米裝備、材料、工藝配套能力及集成電路制造產(chǎn)業(yè)鏈。

大基金一期成效明顯,二期正處于籌劃之中

國(guó)家集成電路產(chǎn)業(yè)投資基金(大基金)2014年9月24日正式成立,存續(xù)期為10年,注冊(cè)資本為987.2億人民幣,財(cái)政部、國(guó)開金融、中國(guó)煙草、北京亦莊為公司前4大股東,持股比例分別為36.47%、22.29%、11.14%、10.13%。大基金分為兩期進(jìn)行,截至2018年5月,大基金一期已經(jīng)投資完畢,共募集1387.2億人民幣,有效決策投資67個(gè)項(xiàng)目,累計(jì)項(xiàng)目承諾投資額達(dá)1188億元,實(shí)際出資818億元。

從投資領(lǐng)域來(lái)看,大基金一期投資重點(diǎn)關(guān)注芯片制造,設(shè)備領(lǐng)域較少涉及。截止到2017年底,大基金一期承諾投資中,芯片制造、芯片設(shè)計(jì)、封裝測(cè)試和裝備材料的投資占比分別為67%、17%、10%、6%。在半導(dǎo)體設(shè)備方面,目前大基金投資比重仍相對(duì)較低,投資對(duì)象僅包括北方華創(chuàng)、中微半導(dǎo)體、長(zhǎng)川科技、沈陽(yáng)拓荊等設(shè)備類公司。

在影響力方面,大基金對(duì)長(zhǎng)電科技、通富微電、華天科技、中芯國(guó)際等國(guó)內(nèi)行業(yè)領(lǐng)導(dǎo)者的投資均可反映大基金偏向投資行業(yè)引領(lǐng)型企業(yè)以促進(jìn)中國(guó)半導(dǎo)體企業(yè)的國(guó)際影響力形成。如2014年12月,大基金通過(guò)與長(zhǎng)電科技簽署三級(jí)股權(quán)架構(gòu)協(xié)議注資3億美元助力其完成對(duì)原全球第四大封裝測(cè)試廠星科金朋的“蛇吞象”式收購(gòu),收購(gòu)?fù)瓿珊箝L(zhǎng)電科技順利于2017年成為全球第三大封測(cè)企業(yè)。

值得關(guān)注的是,大基金有效撬動(dòng)了地方政府投資。截至2018年5月,全國(guó)已有15個(gè)省份或城市設(shè)立集成電路投資基金,已設(shè)立基金總投資額為3230億元,另有無(wú)錫和廈門分別規(guī)劃設(shè)立200億元和500億元。地方投資基金的投向行業(yè)較為分散,深圳明確地指出將投向存儲(chǔ)IC、上海和安徽將重點(diǎn)投資汽車芯片等新消費(fèi)芯片的建設(shè)、陜西重點(diǎn)投資半導(dǎo)體功率器件,其他地區(qū)均以芯片制造為主、分散投資到產(chǎn)業(yè)鏈設(shè)計(jì)、封測(cè)環(huán)節(jié)和裝備材料環(huán)節(jié)。

另一方面,大基金二期正處于籌備之中。根據(jù)搜狐新聞網(wǎng)報(bào)道,大基金二期籌資規(guī)模預(yù)計(jì)為3000億元(高于此前預(yù)期的1500-2000億),按照1:3的撬動(dòng)比測(cè)算,撬動(dòng)的社會(huì)資金在9000億左右,整體投資總額將超過(guò)萬(wàn)億,預(yù)計(jì)將對(duì)半導(dǎo)體行業(yè)發(fā)展起到有效地提振作用。

2.3、產(chǎn)能擴(kuò)張+技術(shù)迭代,國(guó)產(chǎn)設(shè)備迎來(lái)機(jī)遇期

在行業(yè)下游需求新的增長(zhǎng)點(diǎn)刺激下以及國(guó)家政策的大力扶持,國(guó)內(nèi)半導(dǎo)體行業(yè)發(fā)展開始提速,硅片廠和晶圓廠項(xiàng)目持續(xù)上馬籌建。根據(jù)我們統(tǒng)計(jì),截至2018年7月,國(guó)內(nèi)在建及擬建8英寸硅片廠對(duì)應(yīng)產(chǎn)能合計(jì)為223萬(wàn)片/月,12英寸硅片廠產(chǎn)能合計(jì)為309萬(wàn)片/月;在建及擬建8英寸晶圓廠對(duì)應(yīng)產(chǎn)能合計(jì)為54.7萬(wàn)片/月,12英寸晶圓廠對(duì)應(yīng)產(chǎn)能合計(jì)為108.5萬(wàn)片/月。

與存量產(chǎn)能相比,硅片廠與晶圓廠的產(chǎn)能增量均非常明顯,且主要集中于12英寸產(chǎn)能。根據(jù)我們統(tǒng)計(jì),截至2018年7月國(guó)內(nèi)8英寸硅片存量產(chǎn)能為68.5萬(wàn)片/月,增量產(chǎn)能提升幅度為326%;國(guó)內(nèi)12英寸硅片產(chǎn)能幾乎空白,僅能統(tǒng)計(jì)到上海新昇的5萬(wàn)片/月,增量產(chǎn)能提升幅度為62倍。在晶圓廠方面,國(guó)內(nèi)8英寸晶圓存量產(chǎn)能為83.3萬(wàn)片/月,增量產(chǎn)能提升幅度為66%;國(guó)內(nèi)12英寸晶圓存量產(chǎn)能60.9萬(wàn)片/月,增量產(chǎn)能提升幅度為178%。

半導(dǎo)體產(chǎn)線技術(shù)迎來(lái)迭代,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)有望迎來(lái)發(fā)展機(jī)遇期。半導(dǎo)體設(shè)備作為下游硅片廠及晶圓廠建廠時(shí)重要的資本開支,在國(guó)內(nèi)硅片廠以及晶圓廠的持續(xù)大幅投產(chǎn)的背景下,需求有望得到大幅提升。從半導(dǎo)體設(shè)備的投資側(cè)重點(diǎn)來(lái)看,12英寸硅片廠和晶圓廠增量產(chǎn)能均大幅超過(guò)8英寸增量產(chǎn)能,充分表明未來(lái)2年晶圓廠正處于技術(shù)迭代期。在海外半導(dǎo)體設(shè)備龍頭競(jìng)逐12英寸半導(dǎo)體設(shè)備的過(guò)程中,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)在以大基金為核心的政策扶持下有望在8英寸半導(dǎo)體設(shè)備實(shí)現(xiàn)突圍,縮短與外企的差距。另一方面,我們亦關(guān)注到部分國(guó)產(chǎn)企業(yè)在12英寸半導(dǎo)體設(shè)備取得突破,如晶盛機(jī)電在中環(huán)領(lǐng)先半導(dǎo)體的中標(biāo)項(xiàng)目中已涵蓋12英寸半導(dǎo)體單晶爐,預(yù)計(jì)隨著12英寸成為主流技術(shù)路線,公司的業(yè)績(jī)將充分受益。

3、半導(dǎo)體設(shè)備市場(chǎng)空間測(cè)算

3.1、 晶圓制造設(shè)備市場(chǎng)空間測(cè)算

晶圓制造設(shè)備是通過(guò)對(duì) 硅進(jìn)行加工從而制造出硅片的設(shè)備,主要包括單晶生長(zhǎng)爐、研磨機(jī)、切片機(jī)等9類設(shè)備。晶圓制造設(shè)備的需求與下游硅片廠的資本開支密切相關(guān)。我們分下游投資項(xiàng)目落地的確定性及投資規(guī)模兩個(gè)維度對(duì)晶圓制造設(shè)備的市場(chǎng)空間進(jìn)行衡量。

硅片廠產(chǎn)能落地的確定性分析:國(guó)內(nèi)硅片供需測(cè)算

硅片的供給端為國(guó)內(nèi)的硅片廠,需求端為國(guó)內(nèi)的晶圓廠,我們通過(guò)二者的產(chǎn)能規(guī)模對(duì)國(guó)內(nèi)硅片的需求進(jìn)行測(cè)算。

從需求端來(lái)看,根據(jù)2.3節(jié)中的統(tǒng)計(jì),國(guó)內(nèi)8英寸晶圓廠存量產(chǎn)能為83.3萬(wàn)片/月,增量產(chǎn)能為54.7萬(wàn)片/月,合計(jì)產(chǎn)能為138萬(wàn)片/月;國(guó)內(nèi)12英寸晶圓廠存量產(chǎn)能為60.9萬(wàn)片/月,增量產(chǎn)能為108.5萬(wàn)片/月,合計(jì)產(chǎn)能為169.4萬(wàn)片/月。

通常情況下,硅片廠作為晶圓廠的上游,出于自身產(chǎn)能彈性的考慮,往往需要預(yù)留富余產(chǎn)能;同時(shí)晶圓廠受產(chǎn)品良率制約,最終的產(chǎn)能低于供給端的硅片產(chǎn)能。晶圓加工行業(yè)良率的危險(xiǎn)生產(chǎn)邊界為60%,以中芯國(guó)際為例,面向高通的生產(chǎn)線良率為40~60%,因而我們以晶圓良率的危險(xiǎn)生產(chǎn)邊界來(lái)假設(shè)晶圓廠的硅片需求折算系數(shù)為60%,即晶圓廠對(duì)8英寸硅片需求為230萬(wàn)片/月,12英寸硅片需求為282.3萬(wàn)片/月。

從供給端來(lái)看,根據(jù)2.3節(jié)中的統(tǒng)計(jì),國(guó)內(nèi)8英寸硅片廠存量產(chǎn)能為68.5萬(wàn)片/月,對(duì)應(yīng)缺口為161.5萬(wàn)片/月;國(guó)內(nèi)12英寸硅片廠存量產(chǎn)能為5萬(wàn)片/月,對(duì)應(yīng)缺口為277.3萬(wàn)片/月。

從目前已有的硅片廠增量項(xiàng)目信息來(lái)看,對(duì)應(yīng)8英寸硅片產(chǎn)能增量為223萬(wàn)片/月,其中截止到2020年底產(chǎn)能增量為188萬(wàn)片/月,2020年以后產(chǎn)能增量為35萬(wàn)片/月。按此標(biāo)準(zhǔn)測(cè)算8英寸硅片市場(chǎng)將在2020年左右達(dá)到市場(chǎng)供需均衡狀態(tài)。國(guó)內(nèi)12英寸硅片產(chǎn)能增量為309萬(wàn)片/月,其中截止到2020年底產(chǎn)能增量為134萬(wàn)片/月,2020年以后產(chǎn)能增量為309萬(wàn)片/月。按此標(biāo)準(zhǔn)測(cè)算12英寸硅片市場(chǎng)將在2020年缺口依舊達(dá)143.3萬(wàn)片/月,預(yù)計(jì)將在2020年后達(dá)到供需平衡。

基于上述分析,國(guó)內(nèi)半導(dǎo)體硅片存在明顯供需缺口,且12英寸硅片的缺口在短期內(nèi)(2020年前)難以得到滿足,這將為硅片廠持續(xù)擴(kuò)產(chǎn)增加動(dòng)力。我們認(rèn)為當(dāng)前已有規(guī)劃的硅片廠項(xiàng)目預(yù)計(jì)將大概率落地,且不排除新的投產(chǎn)項(xiàng)目出現(xiàn)。

晶圓制造設(shè)備市場(chǎng)空間測(cè)算

我們基于以下假設(shè)條件及對(duì)晶圓制造設(shè)備市場(chǎng)空間進(jìn)行測(cè)算:

1.假設(shè)硅片廠產(chǎn)能均能按期落地;

2.國(guó)內(nèi)8英寸和12英寸硅片增量產(chǎn)能分別為223萬(wàn)片/月和309萬(wàn)片/月,我們根據(jù)項(xiàng)目投產(chǎn)進(jìn)度年度分別對(duì)2018、2019、2020、2020年以后四個(gè)時(shí)間區(qū)間進(jìn)行產(chǎn)能劃分,其中未注明具體投產(chǎn)進(jìn)度產(chǎn)能假設(shè)按照年度進(jìn)行平滑;

3.8英寸單晶爐單臺(tái)產(chǎn)能約為8000片/月,12英寸單晶爐由于目前工藝尚不成熟,產(chǎn)能約為5000片/月。但硅片廠在購(gòu)買設(shè)備時(shí)通常會(huì)考慮到產(chǎn)能彈性因素,設(shè)備購(gòu)買量通常高于設(shè)定產(chǎn)能,如金瑞泓10萬(wàn)片/月的8英寸硅片項(xiàng)目共購(gòu)置了30臺(tái)單晶爐,對(duì)應(yīng)單臺(tái)產(chǎn)能為3333片/月?;诖耍覀兗僭O(shè)硅片廠在采購(gòu)單晶爐時(shí)按照8英寸4000片/月、12英寸3000片/月計(jì)算;

4.根據(jù)調(diào)研,假設(shè)8英寸半導(dǎo)體單晶爐單價(jià)為600萬(wàn)元,12英寸半導(dǎo)體單晶爐單價(jià)為2000萬(wàn)元;

5.根據(jù)中國(guó)產(chǎn)業(yè)信息網(wǎng),單晶爐投資占整個(gè)晶圓制造設(shè)備投資額為25%,其余設(shè)備占比75%。

基于以上假設(shè),我們預(yù)計(jì)國(guó)內(nèi)晶圓制造設(shè)備2018-2020年市場(chǎng)規(guī)模分別為153、290、27億元。

3.2、晶圓加工及封測(cè)市場(chǎng)規(guī)模預(yù)測(cè)

晶圓加工設(shè)備包括光刻機(jī)、刻蝕機(jī)、薄膜沉積設(shè)備等,設(shè)備需求與晶圓廠投資密切相關(guān)。IC封測(cè)設(shè)備包括檢測(cè)設(shè)備與封裝設(shè)備,檢測(cè)設(shè)備又分為前端檢測(cè)設(shè)備與后端檢測(cè)設(shè)備,其中前端檢測(cè)設(shè)備指應(yīng)用于晶圓加工環(huán)節(jié)的檢測(cè)設(shè)備,后端檢測(cè)設(shè)備連同封裝設(shè)備指應(yīng)用于IC封測(cè)工藝中的設(shè)備。半導(dǎo)體封測(cè)設(shè)備通常與晶圓加工設(shè)備相配套,因此我們通過(guò)同一模型進(jìn)行兩大半導(dǎo)體設(shè)備市場(chǎng)空間的測(cè)算。

我們基于以下假設(shè)條件對(duì)晶圓加工設(shè)備和IC封測(cè)設(shè)備的市場(chǎng)空間進(jìn)行測(cè)算:

1.我們?cè)?.3節(jié)中統(tǒng)計(jì)了國(guó)內(nèi)8英寸和12英寸晶圓廠的項(xiàng)目信息,假設(shè)項(xiàng)目投資額按照投產(chǎn)進(jìn)度進(jìn)行平滑,我們統(tǒng)計(jì)出2018、2019、2020、2020年以后四個(gè)時(shí)間區(qū)間的8英寸晶圓廠投資額分別為106.10、73.93、73.93、0億元;12英寸晶圓廠投資額分別為2365.50、2094.83、478、1435.40億元。

2.我們假設(shè)晶圓加工設(shè)備開支占晶圓廠投資額的比重為60%;同時(shí)根據(jù)搜狐網(wǎng)轉(zhuǎn)發(fā)的VLSI Research 2017年公布的數(shù)據(jù),晶圓加工設(shè)備中,擴(kuò)散設(shè)備、光刻設(shè)備、刻蝕設(shè)備、離子注入設(shè)備、薄膜沉積設(shè)備、前道檢測(cè)設(shè)備、拋光設(shè)備、清洗設(shè)備投資額占生產(chǎn)設(shè)備比例為1%、23%、30%、2%、25%、13%、4%、2%。

3.根據(jù)半導(dǎo)體行業(yè)觀察網(wǎng),晶圓加工設(shè)備占整個(gè)半導(dǎo)體設(shè)備投資額的80%,封裝設(shè)備占比為7%,后道測(cè)試設(shè)備占比為9%。由于封測(cè)設(shè)備通常與晶圓加工設(shè)備相配套,我們假設(shè)封裝設(shè)備市場(chǎng)空間與晶圓加工設(shè)備市場(chǎng)空間比例為1:11,后道測(cè)試設(shè)備市場(chǎng)空間與晶圓加工設(shè)備市場(chǎng)空間比例為1:9。

基于此,我們構(gòu)建國(guó)內(nèi)晶圓加工及封測(cè)市場(chǎng)規(guī)模預(yù)測(cè)模型,預(yù)計(jì)國(guó)內(nèi)晶圓加工設(shè)備2018-2020年市場(chǎng)規(guī)模分別為1483、1301、331億元;封裝測(cè)試設(shè)備2018-2020年市場(chǎng)規(guī)模分別為300、263、67億元。

半導(dǎo)體設(shè)備市場(chǎng)空間小結(jié):綜上所述,2018-2020年國(guó)內(nèi)半導(dǎo)體設(shè)備市場(chǎng)空間分別為1935.68、1854.47、424.73億元。

4、行業(yè)評(píng)級(jí)及投資策略

自上而下,給予半導(dǎo)體設(shè)備行業(yè)推薦評(píng)級(jí)。在下游新興領(lǐng)域需求刺激以及政策助推下,國(guó)內(nèi)硅片廠和晶圓廠迎來(lái)擴(kuò)產(chǎn)潮,2018-19年國(guó)內(nèi)半導(dǎo)體設(shè)備年均市場(chǎng)規(guī)模接近2000億。同時(shí)受益半導(dǎo)體產(chǎn)線技術(shù)迭代以及大基金重點(diǎn)扶持,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)迎來(lái)發(fā)展機(jī)遇期。

自下而上,關(guān)注細(xì)分領(lǐng)域龍頭標(biāo)的。半導(dǎo)體設(shè)備行業(yè)馬太效應(yīng)明顯,龍頭企業(yè)在這一輪發(fā)展機(jī)遇中更有望脫穎而出。重點(diǎn)推薦國(guó)產(chǎn)半導(dǎo)體設(shè)備龍頭北方華創(chuàng),半導(dǎo)體檢測(cè)設(shè)備龍頭長(zhǎng)川科技,半導(dǎo)體單晶爐龍頭晶盛機(jī)電;建議關(guān)注布局半導(dǎo)體檢測(cè)業(yè)務(wù)的精測(cè)電子以及布局半導(dǎo)體清洗設(shè)備及濕法刻蝕工藝的至純科技。

4.1、 北方華創(chuàng):充分受益半導(dǎo)體設(shè)備國(guó)產(chǎn)化,公司步入發(fā)展機(jī)遇期

晶圓廠投資熱潮催生半導(dǎo)體設(shè)備需求,國(guó)家意志助推設(shè)備進(jìn)口替代。根據(jù)SEMI的數(shù)據(jù)統(tǒng)計(jì),預(yù)估在2017-2020年間,全球?qū)⒂?2座新的晶圓廠投入營(yíng)運(yùn)。期間國(guó)內(nèi)將有26座新的晶圓廠投入營(yíng)運(yùn),占新增晶圓廠比重高達(dá)42%。在這一背景下,半導(dǎo)體設(shè)備需求有望大幅提升;根據(jù)公司年報(bào)披露,2017年全球半導(dǎo)體設(shè)備銷售額預(yù)計(jì)達(dá)550億美元,同比增長(zhǎng)35.6%,其中中國(guó)大陸半導(dǎo)體設(shè)備銷售額將達(dá)到75.90億美元,成為全球第三大半導(dǎo)體設(shè)備市場(chǎng)。另一方面,國(guó)家集成電路產(chǎn)業(yè)投資基金第二期正在籌資之中,目前方案已上報(bào)國(guó)務(wù)院并獲批。中國(guó)證券報(bào)資料顯示,國(guó)家集成電路產(chǎn)業(yè)投資基金二期籌資規(guī)模在1500億-2000億左右,按照1:3的撬動(dòng)比測(cè)算,撬動(dòng)的社會(huì)資金在4500-6000億左右,疊加國(guó)家集成電路產(chǎn)業(yè)投資基金第一期1387億元及所撬動(dòng)的5145億元社會(huì)資金,投資總額將超過(guò)萬(wàn)億元。目前我國(guó)半導(dǎo)體行業(yè)的發(fā)展已經(jīng)上升到國(guó)家戰(zhàn)略高度,國(guó)家集成電路產(chǎn)業(yè)投資基金的持續(xù)投入充分彰顯了國(guó)家對(duì)產(chǎn)業(yè)的支持力度,國(guó)產(chǎn)半導(dǎo)體設(shè)備企業(yè)迎來(lái)崛起良機(jī)。

公司是國(guó)產(chǎn)半導(dǎo)體設(shè)備龍頭,泛半導(dǎo)體設(shè)備布局不斷完善。公司作為國(guó)家02重大科技專項(xiàng)承擔(dān)單位,也是國(guó)家集成電路產(chǎn)業(yè)投資基金重點(diǎn)扶持的企業(yè),已先后完成了12吋集成電路制造設(shè)備90-28nm等多個(gè)關(guān)鍵制程的攻關(guān)工作,14nm制程設(shè)備也已交付至客戶端進(jìn)行工藝驗(yàn)證。目前,公司28nm及以上技術(shù)代制程設(shè)備已批量進(jìn)入了國(guó)內(nèi)主流集成電路生產(chǎn)線量產(chǎn),2017年半導(dǎo)體設(shè)備實(shí)現(xiàn)營(yíng)業(yè)收入11.34億元,同比增長(zhǎng)39.47%。同時(shí)公司積極布局泛半導(dǎo)體設(shè)備,在半導(dǎo)體照明、光伏、功率器件等領(lǐng)域建立起了行業(yè)領(lǐng)先優(yōu)勢(shì);2017年公司光伏單晶爐設(shè)備獲得訂單約10億元,預(yù)計(jì)將持續(xù)增厚公司業(yè)績(jī)。此外,公司于2017年8月作價(jià)1500萬(wàn)美元收購(gòu)美國(guó)AkrionSystemsLLC公司,布局精密清洗領(lǐng)域,進(jìn)一步完善公司半導(dǎo)體設(shè)備的全產(chǎn)業(yè)鏈布局。

股權(quán)激勵(lì)綁定人才隊(duì)伍,研發(fā)為核奠定成長(zhǎng)根基。公司目前已形成國(guó)內(nèi)高端管理技術(shù)人才和海外專家為核心的多層次、多梯度的人才隊(duì)伍,半導(dǎo)體設(shè)備領(lǐng)域?qū)I(yè)技術(shù)員工占比超過(guò)一半,碩士學(xué)歷以上的員工總數(shù)占比約30%。2018年3月公司發(fā)布股票期權(quán)激勵(lì)計(jì)劃(草案),擬向341名核心技術(shù)員工及管理骨干授予450萬(wàn)份股票期權(quán),首次授予的股票期權(quán)行權(quán)價(jià)格為35.39元/股,股權(quán)激勵(lì)的落地預(yù)計(jì)將進(jìn)一步調(diào)動(dòng)員工的工作積極性。另一方面,公司高度重視研發(fā)投入,2017年研發(fā)投入為7.36億元,占營(yíng)收比例為33.13%,截止到2017年年末公司已累計(jì)授權(quán)專利2000項(xiàng);半導(dǎo)體行業(yè)屬于資金密集型、技術(shù)密集型行業(yè),持續(xù)高位的研發(fā)投入將為公司業(yè)績(jī)的持續(xù)增長(zhǎng)提供動(dòng)力。

維持公司“增持”評(píng)級(jí)。預(yù)計(jì)公司2018-2020年凈利潤(rùn)為1.73、2.56、3.79億元,對(duì)應(yīng)EPS為0.38、0.56、0.83元/股,按照最新收盤價(jià)對(duì)應(yīng)PE為135、92、62倍。公司通過(guò)持續(xù)研發(fā)投入已成為國(guó)產(chǎn)半導(dǎo)體設(shè)備龍頭,未來(lái)有望充分受益行業(yè)增長(zhǎng),維持公司“增持”評(píng)級(jí)。

風(fēng)險(xiǎn)提示:半導(dǎo)體設(shè)備需求不及預(yù)期;公司核心產(chǎn)品研發(fā)進(jìn)度不及預(yù)期;行業(yè)政策支持力度不及預(yù)期;公司業(yè)績(jī)不及預(yù)期;第二期國(guó)家集成電路產(chǎn)業(yè)投資基金進(jìn)展不及預(yù)期。

4.2、 精測(cè)電子:盈利能力持續(xù)提升,2018年業(yè)績(jī)高增長(zhǎng)可期

盈利能力持續(xù)提升,全年有望持續(xù)高增長(zhǎng)。2018年一季度公司毛利率與凈利率分別為55.17%及25.79%,環(huán)比上升6.91、8.51個(gè)百分點(diǎn);同比上升10.38、8.19個(gè)百分點(diǎn)。公司盈利能力提升的原因主要有兩點(diǎn):一是受產(chǎn)品結(jié)構(gòu)優(yōu)化毛利率持續(xù)增加;二是期間費(fèi)用同比下滑進(jìn)一步促進(jìn)凈利率提升,2018年一季度公司管理費(fèi)用及銷售費(fèi)用占營(yíng)收比例為20.55%、9.13%,同比下降5.83、1.29個(gè)百分點(diǎn)。此外,截止到一季度底公司存貨為2.58億元,創(chuàng)歷史新高;考慮到下游面板檢測(cè)及半導(dǎo)體檢測(cè)行業(yè)景氣度持續(xù)提升,預(yù)計(jì)公司全年業(yè)績(jī)有望實(shí)現(xiàn)持續(xù)高增長(zhǎng)。

產(chǎn)品序列不斷完善,“光、機(jī)、電”一體化優(yōu)勢(shì)助推面板檢測(cè)設(shè)備業(yè)績(jī)持續(xù)提升。公司是國(guó)產(chǎn)面板檢測(cè)設(shè)備龍頭,在Module制程檢測(cè)系統(tǒng)市場(chǎng)處于領(lǐng)先地位;2014年公司引進(jìn)了宏瀨光電和***光達(dá)相關(guān)專利,開始布局AOI光學(xué)檢測(cè)系統(tǒng)和平板顯示自動(dòng)化設(shè)備,形成了“光、機(jī)、電”一體化的產(chǎn)品線。目前公司已實(shí)現(xiàn)Cell制程產(chǎn)品的規(guī)模銷售以及Array制程的部分銷售,質(zhì)地優(yōu)秀。2017年公司AOI光學(xué)檢測(cè)系統(tǒng)占營(yíng)收比重為45.31%,首次超過(guò)公司傳統(tǒng)主業(yè)模組檢測(cè)系統(tǒng)。根據(jù)HIS統(tǒng)計(jì),2015年全球Array、Cell和Module各制程設(shè)備投資額占比分別為74%、21%、5%,隨著公司面板檢測(cè)設(shè)備向前段制程延伸,未來(lái)業(yè)績(jī)有望實(shí)現(xiàn)持續(xù)快速增長(zhǎng)。

內(nèi)外結(jié)合積極布局,半導(dǎo)體測(cè)試設(shè)備有望提供良好業(yè)績(jī)彈性。根據(jù)《中國(guó)制造2025》,到2020、2025年中國(guó)集成電路內(nèi)需市場(chǎng)自給率分別達(dá)到40%、70%;與此同時(shí),中興通訊事件亦敲響了國(guó)產(chǎn)芯片發(fā)展不足的警鐘,半導(dǎo)體行業(yè)發(fā)展有望步入機(jī)遇期。半導(dǎo)體測(cè)試設(shè)備在IC設(shè)計(jì)、晶圓制造加工、封裝測(cè)試三大工藝環(huán)節(jié)均有應(yīng)用,受益行業(yè)景氣度提升需求有望持續(xù)增加。公司已將半導(dǎo)體測(cè)試設(shè)備納入未來(lái)發(fā)展戰(zhàn)略,2018年1月公司與韓國(guó)IT&TCo.,LTD設(shè)立中外合資公司武漢精鴻開始布局半導(dǎo)體測(cè)試設(shè)備,通過(guò)借助IT&T在半導(dǎo)體測(cè)試領(lǐng)域的技術(shù)研發(fā)實(shí)力,未來(lái)有望實(shí)現(xiàn)公司在半導(dǎo)體測(cè)試領(lǐng)域的快速突破。

維持公司“增持”評(píng)級(jí)。預(yù)計(jì)公司2018-2020年實(shí)現(xiàn)歸母凈利潤(rùn)3.21、4.53、5.04億元,按照最新收盤價(jià)對(duì)應(yīng)PE為22、16、14倍。在面板檢測(cè)設(shè)備領(lǐng)域,公司質(zhì)地優(yōu)秀,有望充分受益行業(yè)增長(zhǎng);同時(shí)公司依托原有主業(yè)優(yōu)勢(shì),通過(guò)內(nèi)外結(jié)合的方式布局半導(dǎo)體測(cè)試設(shè)備,業(yè)績(jī)具備良好的彈性,維持“增持”評(píng)級(jí)。

風(fēng)險(xiǎn)提示:面板檢測(cè)設(shè)備業(yè)務(wù)推廣不及預(yù)期、半導(dǎo)體測(cè)試設(shè)備業(yè)績(jī)?cè)鲩L(zhǎng)不及預(yù)期;半導(dǎo)體行業(yè)發(fā)展不及預(yù)期;公司業(yè)績(jī)不及預(yù)期。

4.3、 長(zhǎng)川科技:半導(dǎo)體后道測(cè)試設(shè)備國(guó)內(nèi)龍頭,在研高端產(chǎn)品有望加速國(guó)產(chǎn)替代

半導(dǎo)體后道測(cè)試設(shè)備國(guó)內(nèi)龍頭,優(yōu)質(zhì)客戶合作關(guān)系穩(wěn)定。公司是國(guó)內(nèi)半導(dǎo)體后道測(cè)試設(shè)備供應(yīng)商中唯一的A股上市公司,主要產(chǎn)品為測(cè)試機(jī)和分選機(jī)。2018年一季度,公司營(yíng)業(yè)收入為0.45億元,同比增長(zhǎng)122.46%;實(shí)現(xiàn)歸母凈利潤(rùn)0.07億元,同比增長(zhǎng)80.4%。下游客戶方面,公司與長(zhǎng)電科技、華天科技保持較穩(wěn)定的合作關(guān)系,2014-2017年,公司對(duì)長(zhǎng)電科技、華天科技的合計(jì)銷售占公司銷售總額比例分別為55.28%、64.18%、62.58%、60.85%。2017年,長(zhǎng)電科技和華天科技分別實(shí)現(xiàn)營(yíng)業(yè)收入234.13億元、68.86億元,同比增長(zhǎng)25.05%、29.19%,下游優(yōu)質(zhì)客戶的發(fā)展將會(huì)給公司提供穩(wěn)定的設(shè)備需求。

充分受益政策激勵(lì),半導(dǎo)體測(cè)試設(shè)備大有可為。截止到2017年,國(guó)家共出臺(tái)17份促進(jìn)半導(dǎo)體行業(yè)發(fā)展相關(guān)政策。2014年工信部印發(fā)的《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》首次明確提出2020年封裝測(cè)試技術(shù)需達(dá)到國(guó)際領(lǐng)先水平,同時(shí)設(shè)立國(guó)家集成電路產(chǎn)業(yè)基金(簡(jiǎn)稱大基金)。大基金一期共募集1387.20億元,10%投資于封測(cè)行業(yè)。目前大基金是公司第三大股東,持有公司3.86%股份。受益于國(guó)家政策驅(qū)動(dòng),中國(guó)半導(dǎo)體行業(yè)發(fā)展備受期待。據(jù)SEMI預(yù)測(cè),2018年中國(guó)半導(dǎo)體設(shè)備市場(chǎng)規(guī)模將達(dá)118.1億美元,依據(jù)2017年全球測(cè)試設(shè)備在半導(dǎo)體設(shè)備行業(yè)所占6.11%比重推算,2018年中國(guó)半導(dǎo)體測(cè)試設(shè)備市場(chǎng)規(guī)模將提升至7.21億美元。

研究成果顯著,在研高端測(cè)試設(shè)備有望實(shí)現(xiàn)國(guó)產(chǎn)替代。公司重視測(cè)試技術(shù)和分選技術(shù)研發(fā)工作,2014年以來(lái),公司研發(fā)投入占營(yíng)業(yè)收入比重逐年上升,2016-2017均保持在20%以上。截至2018年8月7日,公司發(fā)明和實(shí)用型專利合計(jì)91項(xiàng),僅2017年申請(qǐng)的發(fā)明專利和實(shí)用專利合計(jì)即達(dá)到23項(xiàng)。與此同時(shí),公司IPO募投“研發(fā)中心建設(shè)項(xiàng)目”預(yù)計(jì)投資0.67億元,布局模擬IC、高壓大功率、數(shù)字IC、多類別自動(dòng)測(cè)試等高端測(cè)試技術(shù)研究。據(jù)公司招股說(shuō)明書披露,公司募投項(xiàng)目將在2018年10月份落地,項(xiàng)目落地后,公司在完善高端測(cè)試設(shè)備業(yè)務(wù)布局同時(shí),有望實(shí)現(xiàn)國(guó)內(nèi)高端測(cè)試設(shè)備的國(guó)產(chǎn)替代。

維持公司“增持”評(píng)級(jí)。隨著國(guó)內(nèi)半導(dǎo)體行業(yè)的進(jìn)一步發(fā)展,高端測(cè)試設(shè)備國(guó)產(chǎn)替代需求增加,預(yù)計(jì)公司2018-2020年凈利潤(rùn)分別為0.82億元、1.24億元、1.77億元,對(duì)應(yīng)EPS分別為0.55元/股、0.84元/股、1.20元/股,按最新收盤價(jià)計(jì)算,對(duì)應(yīng)PE分別為73、48、33倍。我們認(rèn)為公司作為半導(dǎo)體后道測(cè)試設(shè)備國(guó)內(nèi)龍頭企業(yè),質(zhì)地良好,維持公司“增持”評(píng)級(jí)。

風(fēng)險(xiǎn)提示:半導(dǎo)體行業(yè)發(fā)展不及預(yù)期;公司市場(chǎng)份額下降的風(fēng)險(xiǎn);公司業(yè)績(jī)不及預(yù)期;募投項(xiàng)目投資進(jìn)展不及預(yù)期;公司客戶過(guò)于集中的風(fēng)險(xiǎn)。

4.4、 晶盛機(jī)電:半導(dǎo)體業(yè)務(wù)表現(xiàn)亮眼,中標(biāo)大單彰顯實(shí)力

半導(dǎo)體行業(yè)投資放量,單晶爐充分受益設(shè)備需求增長(zhǎng)。受中國(guó)半導(dǎo)體產(chǎn)業(yè)投資跟進(jìn)和世界經(jīng)濟(jì)復(fù)蘇影響,2017年全球半導(dǎo)體設(shè)備市場(chǎng)規(guī)模566.2億美元,較2016年大幅增長(zhǎng)37.3%,增速為近7年之最;其中中國(guó)市場(chǎng)規(guī)模為82億美元,位列全球第三。而從半導(dǎo)體硅片端看,目前國(guó)內(nèi)半導(dǎo)體硅片供需缺口明顯,8英寸、12英寸硅片產(chǎn)能對(duì)應(yīng)缺口為161.5萬(wàn)片/月、277.3萬(wàn)片/月。我們基于當(dāng)前硅片廠投產(chǎn)計(jì)劃,測(cè)算國(guó)內(nèi)2018-2019年半導(dǎo)體單晶爐市場(chǎng)空間分別為38.28億元、72.58億元,半導(dǎo)體硅片制造設(shè)備規(guī)模為153億元、290億元。

半導(dǎo)體設(shè)備研發(fā)基礎(chǔ)深厚,中標(biāo)4億元大單充分彰顯公司技術(shù)實(shí)力。公司早在2007年4月便研制國(guó)內(nèi)首臺(tái)全自動(dòng)直拉式單晶硅生長(zhǎng)爐(TDR80A-ZJS),并向有研半導(dǎo)體銷售;雖2011年至今公司單晶爐主要應(yīng)用于光伏行業(yè),但半導(dǎo)體單晶爐研發(fā)持續(xù)推進(jìn)。目前,公司研發(fā)TDR150A-ZJS并實(shí)現(xiàn)量產(chǎn),是國(guó)內(nèi)上市公司中唯一具備12英寸半導(dǎo)體硅片拉制能力的單晶爐供應(yīng)商。除半導(dǎo)體單晶爐外,公司同時(shí)布局其他半導(dǎo)體晶圓制造設(shè)備,目前已實(shí)現(xiàn)8英寸和12英寸半導(dǎo)體單晶硅截?cái)鄼C(jī)、滾磨機(jī)、截?cái)嗄ッ鎻?fù)合加工一體機(jī)等具備國(guó)際先進(jìn)水平設(shè)備的量產(chǎn)和銷售。2018年7月11日,公司中標(biāo)中環(huán)領(lǐng)先半導(dǎo)體材料有限公司3.6億元半導(dǎo)體單晶爐訂單和0.42億元全自動(dòng)半導(dǎo)體單晶硅切斷機(jī)、滾磨機(jī)訂單,此次中標(biāo)彰顯了公司在半導(dǎo)體硅片制造設(shè)備領(lǐng)域的技術(shù)優(yōu)勢(shì),有效提升了公司的市場(chǎng)影響力。

光伏設(shè)備銷售承壓,藍(lán)寶石材料有望成為新增長(zhǎng)點(diǎn)。受“531光伏新政”影響,國(guó)內(nèi)光伏行業(yè)發(fā)展受限,公司5月31日后簽訂的光伏用單晶爐合同僅1單,交貨期也較正常期長(zhǎng)。與光伏行業(yè)設(shè)備銷售承壓相比,公司藍(lán)寶石材料有望形成新增長(zhǎng)點(diǎn)。公司募投“年產(chǎn)2500萬(wàn)mm藍(lán)寶石晶棒生產(chǎn)和擴(kuò)產(chǎn)項(xiàng)目”及“年產(chǎn)1200萬(wàn)片藍(lán)寶石切磨拋?lái)?xiàng)目”,一期于2018年6月30日投產(chǎn)、二期2019年底投產(chǎn),屆時(shí)藍(lán)寶石材料產(chǎn)能將完全釋放。根據(jù)前瞻產(chǎn)業(yè)研究院預(yù)計(jì),2019年全球藍(lán)寶石晶棒市場(chǎng)規(guī)模將達(dá)到10.4億美元,考慮到智能手機(jī)及穿戴設(shè)備需求影響,到2020年全球藍(lán)寶石材料市場(chǎng)營(yíng)收將達(dá)到341.5億元。產(chǎn)能釋放適逢市場(chǎng)增長(zhǎng)高峰期,公司藍(lán)寶石業(yè)務(wù)增長(zhǎng)可期。

研發(fā)成果豐富,股權(quán)激勵(lì)綁定核心研發(fā)人員。2017年公司研發(fā)投入占營(yíng)收比重為8.46%,在主要單晶爐上市公司中位居首位,天龍光電和京運(yùn)通分別為2.92%、3.09%。截止到2018年8月10日,公司合計(jì)有權(quán)專利221項(xiàng),僅2017年公司申請(qǐng)專利就達(dá)85項(xiàng)。2018年SNEC太陽(yáng)能展會(huì)上,公司展出全球首款“蜂巢”標(biāo)準(zhǔn)50太陽(yáng)能單晶組件,新品功率為280-330w,相當(dāng)于正常組件60片,同時(shí)降低非硅成本10%以上。此外,公司于2018年5月24日再次實(shí)行限制性股權(quán)激勵(lì),本次激勵(lì)對(duì)象為包括公司副總裁和總工程師在內(nèi)的中層管理和核心技術(shù)人員合計(jì)117人,授予3.42萬(wàn)股,將有效綁定公司核心研發(fā)人員,為公司進(jìn)一步提升核心競(jìng)爭(zhēng)力提供保障。

維持公司“買入”評(píng)級(jí)。預(yù)計(jì)公司2018-2020年凈利潤(rùn)分別為6.16億元、7.58億元、9.66億元,對(duì)應(yīng)EPS分別為0.48元/股、0.59元/股、0.75元/股,按照最新收盤價(jià)計(jì)算,對(duì)應(yīng)PE分別為25、20、16倍。公司此次中標(biāo)半導(dǎo)體設(shè)備大單充分彰顯公司行業(yè)地位,未來(lái)發(fā)展受益于半導(dǎo)體行業(yè)增長(zhǎng)有望步入機(jī)遇期,維持公司“買入”評(píng)級(jí)。

風(fēng)險(xiǎn)提示:半導(dǎo)體行業(yè)發(fā)展不及預(yù)期;光伏用單晶爐業(yè)務(wù)不及預(yù)期;藍(lán)寶石業(yè)務(wù)發(fā)展不及預(yù)期;公司業(yè)績(jī)不及預(yù)期;募投項(xiàng)目投資進(jìn)展不及預(yù)期。

5、風(fēng)險(xiǎn)提示

1)半導(dǎo)體設(shè)備需求不及預(yù)期;

2)國(guó)產(chǎn)半導(dǎo)體設(shè)備技術(shù)突破不及預(yù)期;

3)行業(yè)政策支持力度不及預(yù)期;

4)下游產(chǎn)能投產(chǎn)進(jìn)度不及預(yù)期;

5)相關(guān)重點(diǎn)公司未來(lái)業(yè)績(jī)不及預(yù)期。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 傳感器
    +關(guān)注

    關(guān)注

    2541

    文章

    50058

    瀏覽量

    748295
  • 集成電路
    +關(guān)注

    關(guān)注

    5371

    文章

    11194

    瀏覽量

    358638
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26412

    瀏覽量

    210318

原文標(biāo)題:國(guó)產(chǎn)半導(dǎo)體設(shè)備迎來(lái)“芯”機(jī)遇?一文全覽26種半導(dǎo)體設(shè)備

文章出處:【微信號(hào):icsmart,微信公眾號(hào):芯智訊】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    芯片產(chǎn)業(yè)有望開啟新一輪繁榮周期,國(guó)產(chǎn)半導(dǎo)體設(shè)備如何乘風(fēng)而起?

    展示會(huì)(以下簡(jiǎn)稱:CSEAC 2024)上,來(lái)自各大分析機(jī)構(gòu)及企業(yè)的演講嘉賓均對(duì)此趨勢(shì)進(jìn)行了提及與闡述。 ? 半導(dǎo)體產(chǎn)業(yè)進(jìn)入新周期,對(duì)半導(dǎo)體設(shè)備和材料等上游關(guān)鍵環(huán)節(jié)有巨大的帶動(dòng)作用。因
    的頭像 發(fā)表于 09-27 00:08 ?698次閱讀

    共話出海未來(lái),共謀發(fā)展新篇—“生成式AI,解鎖出海新機(jī)遇”沙龍成功舉辦

    在業(yè)務(wù)布局、金融管理、技術(shù)創(chuàng)新等方面的出海實(shí)踐經(jīng)驗(yàn)。同時(shí)探討AI技術(shù)如何賦能企業(yè)出海,助力中國(guó)企業(yè)在全球化浪潮中把握機(jī)遇、應(yīng)對(duì)挑戰(zhàn),為中國(guó)企業(yè)
    的頭像 發(fā)表于 09-25 10:53 ?115次閱讀
    共話出海未來(lái),共謀發(fā)展新篇—“生成式AI,解鎖出海<b class='flag-5'>新機(jī)遇</b>”沙龍成功舉辦

    長(zhǎng)江存儲(chǔ)正加速轉(zhuǎn)向國(guó)產(chǎn)半導(dǎo)體設(shè)備

    面對(duì)國(guó)際環(huán)境的變化,中國(guó)半導(dǎo)體產(chǎn)業(yè)展現(xiàn)出強(qiáng)大的韌性與決心。自2022年美國(guó)實(shí)施對(duì)華先進(jìn)半導(dǎo)體設(shè)備出口限制,并將3D NAND Flash領(lǐng)軍企業(yè)長(zhǎng)江存儲(chǔ)納入實(shí)體清單以來(lái),長(zhǎng)江存儲(chǔ)非但沒(méi)
    的頭像 發(fā)表于 09-24 14:40 ?256次閱讀

    功率半導(dǎo)體設(shè)備供電解決方案

    隨著功率半導(dǎo)體器件的發(fā)展,半導(dǎo)體設(shè)備的發(fā)展也不斷興起。國(guó)家重要會(huì)議提出,到 2035 年要實(shí)現(xiàn)高水平科技自立自強(qiáng)。從半導(dǎo)體設(shè)備行業(yè)的
    的頭像 發(fā)表于 09-12 09:50 ?169次閱讀
    功率<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>設(shè)備</b>供電解決方案

    國(guó)產(chǎn)半導(dǎo)體新希望:Chiplet技術(shù)助力“彎道超車”!

    產(chǎn)業(yè)提供了一個(gè)“彎道超車”的絕佳機(jī)遇。本文將深入探討Chiplet技術(shù)的核心原理、優(yōu)勢(shì)、應(yīng)用現(xiàn)狀以及未來(lái)發(fā)展趨勢(shì),揭示其如何助力國(guó)產(chǎn)半導(dǎo)體產(chǎn)業(yè)實(shí)現(xiàn)技術(shù)突破和市場(chǎng)擴(kuò)張
    的頭像 發(fā)表于 08-28 10:59 ?516次閱讀
    <b class='flag-5'>國(guó)產(chǎn)</b><b class='flag-5'>半導(dǎo)體</b>新希望:Chiplet技術(shù)助力“彎道超車”!

    華為歐洲游戲沙龍聚焦土耳其,共探市場(chǎng)新機(jī)遇

    近日,華為主辦的“領(lǐng)啟未來(lái)”游戲行業(yè)沙龍?jiān)跉W洲的土耳其盛大舉行。此次沙龍以“歐洲出海”為主題,華為游戲中心攜手點(diǎn)觸游戲、FunPlus、沐瞳、NEOCRAFT、網(wǎng)易游戲等業(yè)界翹楚,共同探討了歐洲游戲市場(chǎng)的新機(jī)遇與挑戰(zhàn)。
    的頭像 發(fā)表于 06-13 16:54 ?618次閱讀

    共熵服務(wù)中心拜訪香港科學(xué)園,共同探索河套國(guó)際組織深港合作新機(jī)遇

    今日,共熵服務(wù)中心(以下簡(jiǎn)稱“我中心”)前往香港科學(xué)園進(jìn)行拜訪交流,旨在深入探討河套國(guó)際組織與香港科學(xué)園合作的新機(jī)遇,攜手推動(dòng)粵港澳大灣區(qū)的科技創(chuàng)新與產(chǎn)業(yè)協(xié)同發(fā)展。
    的頭像 發(fā)表于 04-17 09:13 ?303次閱讀

    晶華微電子榮膺2023年度電子元器件行業(yè)優(yōu)秀國(guó)產(chǎn)品牌企業(yè)獎(jiǎng)

    。會(huì)議以“創(chuàng)新、互聯(lián)、芯生態(tài)”為主題,匯聚多家優(yōu)秀企業(yè)代表以及多名業(yè)界專家,共同探討半導(dǎo)體行業(yè)的發(fā)展前景,謀劃未來(lái)產(chǎn)業(yè)新機(jī)遇。 “華強(qiáng)電子網(wǎng)企業(yè)
    的頭像 發(fā)表于 04-15 18:39 ?769次閱讀
    晶華微電子榮膺2023年度電子元器件行業(yè)優(yōu)秀<b class='flag-5'>國(guó)產(chǎn)</b>品牌<b class='flag-5'>企業(yè)</b>獎(jiǎng)

    中軟國(guó)際攜手華海智匯共同探索智慧ICT市場(chǎng)新機(jī)遇

    3月20日,中軟國(guó)際有限公司(以下簡(jiǎn)稱中軟國(guó)際)與華海智匯技術(shù)有限公司(以下簡(jiǎn)稱“華海智匯”)在天津進(jìn)行業(yè)務(wù)交流,旨在共同探索智慧ICT市場(chǎng)新機(jī)遇,加強(qiáng)優(yōu)勢(shì)互補(bǔ),實(shí)現(xiàn)合作共贏,為全球數(shù)字化發(fā)展貢獻(xiàn)更多力量。
    的頭像 發(fā)表于 03-25 11:23 ?492次閱讀

    關(guān)于半導(dǎo)體設(shè)備

    想問(wèn)一下,半導(dǎo)體設(shè)備需要用到溫度傳感器的有那些設(shè)備,比如探針臺(tái)有沒(méi)有用到,具體要求是那些,
    發(fā)表于 03-08 17:04

    半導(dǎo)體產(chǎn)業(yè)拐點(diǎn)來(lái)臨,這些投資機(jī)遇不容錯(cuò)過(guò)

    調(diào)整和升級(jí),半導(dǎo)體行業(yè)的拐點(diǎn)已經(jīng)到來(lái)。那么,在即將到來(lái)的2024年,半導(dǎo)體行業(yè)將呈現(xiàn)哪些值得投資者關(guān)注的新機(jī)遇呢?
    的頭像 發(fā)表于 01-26 09:47 ?363次閱讀
    <b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)拐點(diǎn)來(lái)臨,這些投資<b class='flag-5'>機(jī)遇</b>不容錯(cuò)過(guò)

    2023年半導(dǎo)體設(shè)備國(guó)產(chǎn)化成績(jī)單:亮點(diǎn)與期待

    企業(yè)對(duì)半導(dǎo)體設(shè)備國(guó)產(chǎn)化的投入不斷加大,旨在打破國(guó)外技術(shù)壟斷,提升本土產(chǎn)業(yè)的自主創(chuàng)新能力和市場(chǎng)競(jìng)爭(zhēng)力。本文將對(duì)2023年半導(dǎo)體
    的頭像 發(fā)表于 01-20 09:34 ?752次閱讀
    2023年<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>設(shè)備</b><b class='flag-5'>國(guó)產(chǎn)</b>化成績(jī)單:亮點(diǎn)與期待

    2024產(chǎn)業(yè)投資新機(jī)遇!珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境推介會(huì)在深圳舉辦!

    2024產(chǎn)業(yè)投資新機(jī)遇!珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境推介會(huì)在深圳舉辦! 10月26日上午,以“2024產(chǎn)業(yè)投資新機(jī)遇”為主題的珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境精準(zhǔn)推介會(huì)于深圳舉行。金灣區(qū)副區(qū)長(zhǎng)馮風(fēng)梅帶隊(duì)出席并作
    的頭像 發(fā)表于 11-12 17:47 ?731次閱讀
    2024產(chǎn)業(yè)投資<b class='flag-5'>新機(jī)遇</b>!珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境推介會(huì)在深圳舉辦!

    三網(wǎng)融合推進(jìn)電力貓迎來(lái)新機(jī)遇

    電子發(fā)燒友網(wǎng)站提供《三網(wǎng)融合推進(jìn)電力貓迎來(lái)新機(jī)遇.doc》資料免費(fèi)下載
    發(fā)表于 11-10 15:11 ?0次下載
    三網(wǎng)融合推進(jìn)電力貓迎來(lái)<b class='flag-5'>新機(jī)遇</b>

    超高速PCIe實(shí)時(shí)運(yùn)動(dòng)控制卡與應(yīng)用方案將亮相深圳NEPCON,正運(yùn)動(dòng)技術(shù)邀您前來(lái)體驗(yàn)!

    知趨勢(shì)·新機(jī)遇|正運(yùn)動(dòng)邀您共同鑒賞電子半導(dǎo)體行業(yè)新動(dòng)態(tài)!
    的頭像 發(fā)表于 10-09 15:17 ?672次閱讀
    超高速PCIe實(shí)時(shí)運(yùn)動(dòng)控制卡與應(yīng)用方案將亮相深圳NEPCON,正運(yùn)動(dòng)技術(shù)邀您前來(lái)體驗(yàn)!