0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

電子設(shè)計(jì) ? 來(lái)源:郭婷 ? 作者:電子設(shè)計(jì) ? 2019-05-24 08:12 ? 次閱讀

隨著無(wú)線通信頻帶資源的日益緊張,無(wú)線通信主要包括微波通信和衛(wèi)星通信。微波是一種無(wú)線電波,它傳送的距離一般只有幾十千米。但微波的頻帶很寬,通信容量很大。微波通信每隔幾十千米要建一個(gè)微波中繼站。衛(wèi)星通信是利用通信衛(wèi)星作為中繼站在地面上兩個(gè)或多個(gè)地球站之間或移動(dòng)體之間建立微波通信聯(lián)系。四相相移鍵控信號(hào)簡(jiǎn)稱(chēng)"QPSK".它分為絕對(duì)相移和相對(duì)相移兩種。由于絕對(duì)相移方式存在相位模糊問(wèn)題,所以在實(shí)際中主要采用相對(duì)移相方式QDPSK.它具有一系列獨(dú)特的優(yōu)點(diǎn),目前已經(jīng)廣泛應(yīng)用于無(wú)線通信中,成為現(xiàn)代通信中一種十分重要的調(diào)制解調(diào)方式。在數(shù)字信號(hào)的調(diào)制方式中QPSK四相移鍵控是目前最常用的一種衛(wèi)星數(shù)字信號(hào)調(diào)制方式,它具有較高的頻譜利用率、較強(qiáng)的抗干擾性、在電路上實(shí)現(xiàn)也較為簡(jiǎn)單。

基帶信號(hào)是原始的電信號(hào),一般是指基本的信號(hào)波形,在數(shù)字通信中則指相應(yīng)的電脈沖。在無(wú)線遙測(cè)遙控系統(tǒng)和無(wú)線電技術(shù)中調(diào)制就是用基帶信號(hào)控制高頻載波的參數(shù)(振幅、頻率和相位),使這些參數(shù)隨基帶信號(hào)變化。用來(lái)控制高頻載波參數(shù)的基帶信號(hào)稱(chēng)為調(diào)制信號(hào)。未調(diào)制的高頻電振蕩稱(chēng)為載波(可以是正弦波,也可以是非正弦波,如方波、脈沖序列等)。

1 QDPSK調(diào)制解調(diào)的原理

在實(shí)際的調(diào)諧解調(diào)電路中,采用的是非相干載波解調(diào),本振信號(hào)與發(fā)射端的載波信號(hào)存在頻率偏差和相位抖動(dòng),因而解調(diào)出來(lái)的模擬I、Q基帶信號(hào)是帶有載波誤差的信號(hào)。這樣的模擬基帶信號(hào)即使采用定時(shí)準(zhǔn)確的時(shí)鐘進(jìn)行取樣判決,得到的數(shù)字信號(hào)也不是原來(lái)發(fā)射端的調(diào)制信號(hào),誤差的積累將導(dǎo)致抽樣判決后的誤碼率增大,因此數(shù)字QPSK解調(diào)電路要對(duì)載波誤差進(jìn)行補(bǔ)償,減少非相干載波解調(diào)帶來(lái)的影響。此外,ADC的取樣時(shí)鐘也不是從信號(hào)中提取的,當(dāng)取樣時(shí)鐘與輸入的數(shù)據(jù)不同步時(shí),取樣將不在最佳取樣時(shí)刻進(jìn)行所得到的取樣值的統(tǒng)計(jì)信噪比就不是最高,誤碼率就高,因此,在電路中還需要恢復(fù)出一個(gè)與輸入符號(hào)率同步的時(shí)鐘,來(lái)校正固定取樣帶來(lái)的樣點(diǎn)誤差,并且準(zhǔn)確的位定時(shí)信息可為數(shù)字解調(diào)后的信道糾錯(cuò)解碼提供正確的時(shí)鐘。校正辦法是由定時(shí)恢復(fù)和載波恢復(fù)模塊通過(guò)某種算法產(chǎn)生定時(shí)和載波誤差,插值或抽取器在定時(shí)和載波誤差信號(hào)的控制下,對(duì)A/D轉(zhuǎn)換后的取樣值進(jìn)行抽取或插值濾波,得到信號(hào)在最佳取樣點(diǎn)的值,不同芯片采用的算法不盡相同,例如可以采用據(jù)輔助法(DA)載波相位和定時(shí)相位聯(lián)合估計(jì)的最大似然算法。

2PSK信號(hào)與2ASK信號(hào)的時(shí)域表達(dá)式在形式上是完全相同的,所不同的只是兩者基帶信號(hào)s(t)的構(gòu)成,一個(gè)由雙極性NRZ碼組成,另一個(gè)由單極性NRZ碼組成。因此,求2PSK信號(hào)的功率譜密度時(shí),也可采用與求2ASK信號(hào)功率譜密度相同的方法。

在2PSK(二進(jìn)制絕對(duì)移相鍵控)信號(hào)相干解調(diào)過(guò)程中會(huì)產(chǎn)生180°相位模糊,同樣,對(duì)QPSK信號(hào)相干解調(diào)也會(huì)產(chǎn)生相位模糊問(wèn)題,并且是0°、90°、180°和270°等4個(gè)相位模糊。因此,在實(shí)際中更實(shí)用的是四相相對(duì)移相調(diào)制,即QDPSK方式。

QDPSK信號(hào)是利用前后碼元之間的相對(duì)相位變化來(lái)表示數(shù)字信息。實(shí)現(xiàn)四相差分移相調(diào)制的方法有正交調(diào)幅法和相位選擇法。相位選擇法QDPSK調(diào)制器具有硬件實(shí)現(xiàn)簡(jiǎn)單、價(jià)格低等優(yōu)點(diǎn),被廣泛采用,并且這種調(diào)制器非常適合數(shù)字電路實(shí)現(xiàn)。圖1為用相位選擇法產(chǎn)生QDPSK信號(hào)的組成框圖。

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

圖1中首先把二進(jìn)制數(shù)據(jù)流經(jīng)串/并變換,割裂成并列的2行,每串?dāng)?shù)據(jù)的速率是原數(shù)據(jù)速率的一半;然后對(duì)2路信號(hào)進(jìn)行差分編碼;四相載波發(fā)生器分別送出調(diào)相所需的4種不同相位的載波。按照串/并變換器輸出的雙比特碼元的不同,邏輯選相電路輸出相位的載波。

QDPSK的解調(diào)有相干解調(diào)加碼反變換法(極性比較法)和差分相干解調(diào)(相位比較法)。QDPSK相干解調(diào)加碼反變換法解調(diào)框圖如圖2所示。

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

圖2所示解調(diào)原理是:對(duì)QDPSK信號(hào)進(jìn)行相干解調(diào),恢復(fù)出2路相對(duì)碼,經(jīng)過(guò)碼反變換器變換為2路絕對(duì)碼,再經(jīng)過(guò)并/串轉(zhuǎn)換器,從而恢復(fù)出發(fā)送的數(shù)字信息。在解調(diào)過(guò)程中,由于載波相位模糊性的影響,使得解調(diào)出的相對(duì)碼也可能發(fā)生倒置,但經(jīng)差分澤碼(碼反變換)得到的絕對(duì)碼不會(huì)發(fā)生任何倒置的現(xiàn)象,從而解決了載波相位模糊性的問(wèn)題。

2 基于CPLD的QDPSK調(diào)制解調(diào)電路

2.1 QDPSK調(diào)制電路

基于CPLD的QDPSK調(diào)制電路如圖3所示。

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

圖3所示QDPSK調(diào)制電路引腳關(guān)系為:引腳k1表示數(shù)據(jù)時(shí)鐘clk經(jīng)過(guò)計(jì)數(shù)器4count的八分頻輸出,引腳k2表示數(shù)據(jù)時(shí)鐘k1的二分頻輸出,引腳ac和bc表示數(shù)據(jù)時(shí)鐘clk的二分頻輸出和二分頻反相輸出,引腳c0和c2表示數(shù)據(jù)時(shí)鐘ac的二分頻輸出和二分頻反相輸出,引腳c1和c3表示數(shù)據(jù)時(shí)鐘bc的二分頻輸出和二分頻反相輸出,c0、c1、c2和c3組成四相載波。引腳cx和cy表示信碼數(shù)據(jù)串并轉(zhuǎn)換后,在同步時(shí)鐘的作用下差分編碼后的輸出,引腳qdpsk表示輸入的信碼數(shù)據(jù)在同步時(shí)鐘的作用下四相差分移相鍵控信號(hào)輸出。

圖3所示電路器件均可從MAX+PLUSⅡ器件庫(kù)中調(diào)用。其中二分頻電路涮用1個(gè)D觸發(fā)器和1個(gè)非門(mén)組成;串并轉(zhuǎn)換電路調(diào)用4個(gè)D觸發(fā)器組成;差分編碼電路調(diào)用2個(gè)二異或門(mén)、2個(gè)D觸發(fā)器、4個(gè)2與門(mén)、2個(gè)或非門(mén)和2個(gè)非門(mén)組成:四相選相電路調(diào)用1個(gè)74153組成。調(diào)制電路在MAX+PLUSⅡ平臺(tái)上編譯、仿真通過(guò)后,打包產(chǎn)生qdpskb組件。

2.2 QDPSK解調(diào)電路

基于CPLD設(shè)計(jì)的QDPSK解調(diào)電路如圖4所示。

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

CPLD(Complex Programmable Logic Device)復(fù)雜可編程邏輯器件,是從PAL和GAL器件發(fā)展出來(lái)的器件,相對(duì)而言規(guī)模大,結(jié)構(gòu)復(fù)雜,屬于大規(guī)模集成電路范圍。是一種用戶(hù)根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計(jì)方法是借助集成開(kāi)發(fā)軟件平臺(tái),用原理圖、硬件描述語(yǔ)言等方法,生成相應(yīng)的目標(biāo)文件,通過(guò)下載電纜("在系統(tǒng)"編程)將代碼傳送到目標(biāo)芯片中,實(shí)現(xiàn)設(shè)計(jì)的數(shù)字系統(tǒng)。

圖4所示QDPSK解調(diào)電路引腳關(guān)系為:引腳clk表示恢復(fù)的數(shù)據(jù)時(shí)鐘,引腳k1表示數(shù)據(jù)時(shí)鐘clk經(jīng)過(guò)計(jì)數(shù)器4count的八分頻輸出,引腳k2表示數(shù)據(jù)時(shí)鐘k1的二分頻輸出,引腳ac和bc表示數(shù)據(jù)時(shí)鐘clk的二分頻輸出和二分頻反相輸出,引腳c0和c2表示數(shù)據(jù)時(shí)鐘ac的二分頻輸出和二分頻反相輸出,引腳c1和c3表示數(shù)據(jù)時(shí)鐘bc的二分頻輸出和二分頻反相輸出,c0、c1、c2和c3組成四相載波。引腳qdpsk表示四相差分移相鍵控信號(hào)輸入,引腳qx和qy表示四相差分移相鍵控信號(hào)的相干解調(diào)輸出。引腳x和y表示相干解調(diào)輸出在同步時(shí)鐘的作用下差分譯碼后的輸出,引腳out表示信碼差分譯碼后經(jīng)并串轉(zhuǎn)換恢復(fù)的信碼數(shù)據(jù)輸出。

電路器件從MAX+PLUSⅡ器件庫(kù)中調(diào)用。其中二分頻電路調(diào)用1個(gè)D觸發(fā)器和1個(gè)非門(mén)組成;相干解調(diào)電路調(diào)用3個(gè)異或門(mén)、6個(gè)D觸發(fā)器組成;差分譯碼電路調(diào)用2個(gè)D觸發(fā)器、3個(gè)異或門(mén)、5個(gè)非門(mén)、4個(gè)與門(mén)和2個(gè)或非門(mén)組成;并串轉(zhuǎn)換電路調(diào)用2個(gè)非門(mén)、2個(gè)與門(mén)、1個(gè)或門(mén)和1個(gè)D觸發(fā)器組成。

2.3 利用CPLD設(shè)計(jì)的QDPSK調(diào)制解調(diào)電路

將設(shè)計(jì)的QDPSK調(diào)制電路"qdpskb"組件和解調(diào)電路"qdpsky"組件連接在一起,為了仿真實(shí)驗(yàn)方便,信碼數(shù)據(jù)輸入采用四級(jí)偽隨機(jī)碼電路--從MAX+PLUSⅡ器件庫(kù)中調(diào)用4個(gè)D觸發(fā)器、2個(gè)異或門(mén)和1個(gè)四或非門(mén),解調(diào)電路中位定時(shí)恢復(fù)電路產(chǎn)生的數(shù)據(jù)時(shí)鐘直接采用qdpsk調(diào)制電路數(shù)據(jù)時(shí)鐘,調(diào)制解調(diào)電路直接相連,組成的QDPSK調(diào)制解調(diào)電路如圖5所示。

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

QDPSK調(diào)制解調(diào)電路的引腳關(guān)系為:引腳clk表示數(shù)據(jù)時(shí)鐘輸入,引腳k1表示數(shù)據(jù)時(shí)鐘clk的八分頻輸出,引腳data表示四級(jí)偽隨機(jī)碼電路數(shù)據(jù)輸出,引腳c0、c1、c2、c3表示四相載波。引腳p1和p2表示信碼數(shù)據(jù)data在時(shí)鐘的作用下串并轉(zhuǎn)換后的輸出,引腳cx和cy表示差分編碼后的輸出,引腳qdpsk表示四相差分移相鍵控信號(hào)輸出。引腳qx和qy表示四相差分移相鍵控信號(hào)的相干解調(diào)輸出,引腳x和y表示差分譯碼后的輸出,引腳out表示信碼差分譯碼后經(jīng)并串轉(zhuǎn)換恢復(fù)的信碼數(shù)據(jù)輸出。

3 實(shí)驗(yàn)結(jié)果

利用MAX+PLUSⅡ開(kāi)發(fā)工具建立波形輸入文件,在File菜單里面選擇New打開(kāi)新建文件類(lèi)型對(duì)話(huà)框,選擇Waveform Editor File項(xiàng),單擊"OK".在波形編輯器窗口的Name下單擊鼠標(biāo)右鍵,出現(xiàn)浮動(dòng)的菜單,選擇Enter Nodesfrom SNF…可以打開(kāi)"從SNF文件輸入觀測(cè)點(diǎn)"的對(duì)話(huà)框。在Type區(qū)選擇Input和Output,在默認(rèn)的情況下是打開(kāi)的,單擊"List"按鈕,可在Available Nodes&Groups區(qū)看到設(shè)計(jì)文件中使用的輸入/輸出信號(hào),單擊"=>"按鈕可以將這些信號(hào)選擇到Selected N0des&Groups區(qū)。單擊"OK"按鈕,關(guān)閉對(duì)話(huà)框即可看到波形編輯窗口,將此波形文件保存為默認(rèn)名。在波形文件中添加輸入/輸出信號(hào)名后,就可以開(kāi)始對(duì)輸入信號(hào)建立波形了。QDPSK調(diào)制解調(diào)電路仿真波形如圖6所示。

基于CPLD器件和EDA技術(shù)實(shí)現(xiàn)QDPSK調(diào)制解調(diào)電路的設(shè)計(jì)

圖6中"clk"表示輸入時(shí)鐘,"c0"、"c1"、"c2"、"c3"表示四相載波。"k1"表示數(shù)據(jù)時(shí)鐘clk的八分頻輸出,"data"表示

四級(jí)偽隨機(jī)碼電路數(shù)據(jù)輸出,"p1"、"p2"表示信碼數(shù)據(jù)data串并轉(zhuǎn)換后的輸出,"cx"、"cy"表示信碼數(shù)據(jù)串并轉(zhuǎn)換后差分編碼的輸出,"qdpsk"表示輸入的信碼數(shù)據(jù)四相差分移相鍵控信號(hào)輸出。"qx"、"qy"表示四相差分移相鍵控信號(hào)的相干解調(diào)輸出,"x"、"y"表示相干解調(diào)輸出后差分譯碼輸出,"out"表示信碼差分譯碼后經(jīng)并串轉(zhuǎn)換恢復(fù)的信碼數(shù)據(jù)輸出。

仿真結(jié)果表明:QDPSK調(diào)制電路能正確選相,解調(diào)電路輸出數(shù)據(jù)在延時(shí)約5.2μs后,與QDPSK調(diào)制輸入數(shù)據(jù)完全一致。

將綜合后生成的網(wǎng)表文件通過(guò)ByteBlaste下載電纜,以在線配置的方式下載到CPLD器件EPM7128SLC84-15中,從而完成了器件的編程。上電后,在輸入端加入數(shù)據(jù)時(shí)鐘,用數(shù)字存儲(chǔ)示波器測(cè)試調(diào)制解調(diào)電路輸出,實(shí)測(cè)結(jié)果完全正確,表明達(dá)到了設(shè)計(jì)要求。

4 結(jié)論

本文利用CPLD器件,設(shè)計(jì)出的QDPSK調(diào)制解調(diào)電路,利用了EDA技術(shù)中的MAX+PLUSⅡ作為開(kāi)發(fā)工具,將設(shè)計(jì)的電路圖綜合成網(wǎng)表文件寫(xiě)入其中,制成ASIC芯片。其突出優(yōu)點(diǎn)是自頂向下設(shè)計(jì),查找和修改錯(cuò)誤方便,同時(shí)先仿真,正確后再下載測(cè)試并應(yīng)用,具有較大的靈活性;調(diào)制和解調(diào)放在一塊芯片上,集成度非常高;解調(diào)電路輸出數(shù)據(jù)延時(shí)約5.2μs,數(shù)據(jù)速率快。本文提出的QDPSK調(diào)制解調(diào)電路,已應(yīng)用于小型數(shù)據(jù)傳輸系統(tǒng)中。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • cpld
    +關(guān)注

    關(guān)注

    32

    文章

    1244

    瀏覽量

    168947
  • 無(wú)線通信
    +關(guān)注

    關(guān)注

    58

    文章

    4415

    瀏覽量

    143127
  • eda
    eda
    +關(guān)注

    關(guān)注

    71

    文章

    2654

    瀏覽量

    172185
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    EDA技術(shù)應(yīng)用與發(fā)展之管窺

    ;  ?、蹖?zhuān)用集成電路實(shí)現(xiàn)有了更多的途徑,即除傳統(tǒng)的ASIC器件外,還能通過(guò)FPGA、CPLD、ispPAC、FPSC等可編程器件來(lái)
    發(fā)表于 09-12 17:58

    什么是EDA技術(shù)

    結(jié)構(gòu)用一定的方式聯(lián)系起來(lái)。也就是說(shuō),綜合器是軟件描述與硬件實(shí)現(xiàn)的一座橋梁。綜合過(guò)程就是將電路的高級(jí)語(yǔ)言描述轉(zhuǎn)換低級(jí)的、可與目標(biāo)器件FPGA/CPLD相映射的網(wǎng)表文件。  
    發(fā)表于 07-30 06:20

    基于VHDL方式實(shí)現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì)

    本文基于VHDL方式實(shí)現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì),通過(guò)QuartusII軟件建模對(duì)程序進(jìn)行仿真,并通過(guò)引腳鎖定,下載到FPGA芯片EP1K30TC144—3中,軟件仿真和硬件驗(yàn)
    發(fā)表于 12-18 06:03

    基于F PGA的QPS K調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)

    數(shù)字調(diào)制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個(gè)必然趨勢(shì)。文中介紹了QPSK調(diào)制
    發(fā)表于 07-22 15:42 ?0次下載

    基于CPLDQDPSK調(diào)制解調(diào)電路設(shè)計(jì)

    為了在CDMA系統(tǒng)中更好地應(yīng)用QDPSK數(shù)字調(diào)制方式,在分析四相相對(duì)移相(QDPSK)信號(hào)調(diào)制解調(diào)原理的基礎(chǔ)上,設(shè)計(jì)了一種
    發(fā)表于 11-22 16:22 ?64次下載

    EDACPLD/FPGA)技術(shù)概述

    EDACPLD/FPGA)技術(shù)概述 主要術(shù)語(yǔ)摘要:* EDA(電子設(shè)計(jì)自動(dòng)化):Electronic Design Automation* ISP(在系統(tǒng)可編程):In Syste
    發(fā)表于 09-24 10:10 ?2679次閱讀

    基于FPGA的QDPSK調(diào)制器的設(shè)計(jì)

    介紹了QDPSK信號(hào)的優(yōu)點(diǎn),并分析了其實(shí)現(xiàn)原理,提出一種QDPSK 高性能數(shù)字調(diào)制器的FPGA實(shí)現(xiàn)方案。采用自頂向下的設(shè)計(jì)思想,將系統(tǒng)分成串
    發(fā)表于 05-05 16:17 ?78次下載
    基于FPGA的<b class='flag-5'>QDPSK</b><b class='flag-5'>調(diào)制</b>器的設(shè)計(jì)

    FPGA與CPLD實(shí)現(xiàn)UART

    UART 是廣泛使用的串行數(shù)據(jù)通訊電路。本設(shè)計(jì)包含UART 發(fā)送器、接收器和波特率發(fā)生器。設(shè)計(jì)應(yīng)用EDA 技術(shù),基于FPGA/CPLD 器件
    發(fā)表于 12-17 00:15 ?57次下載
    FPGA與<b class='flag-5'>CPLD</b><b class='flag-5'>實(shí)現(xiàn)</b>UART

    DPPM調(diào)制解調(diào)技術(shù)的FPGA實(shí)現(xiàn)

    電子專(zhuān)業(yè)單片機(jī)開(kāi)發(fā)中的學(xué)習(xí)教程資料——DPPM調(diào)制解調(diào)技術(shù)的FPGA實(shí)現(xiàn)
    發(fā)表于 08-08 14:45 ?0次下載

    測(cè)控電路--信號(hào)調(diào)制解調(diào)電路

    測(cè)控電路--信號(hào)調(diào)制解調(diào)電路
    發(fā)表于 12-11 23:29 ?0次下載

    信號(hào)調(diào)制解調(diào)電路

    信號(hào)調(diào)制解調(diào)電路信號(hào)運(yùn)算電路
    發(fā)表于 12-11 23:29 ?0次下載

    FM調(diào)制/解調(diào)電路的設(shè)計(jì)方案分析

    FM調(diào)制/解調(diào)電路的設(shè)計(jì) 摘要:本設(shè)計(jì)根據(jù)鎖相環(huán)原理,通過(guò)兩片CD4046搭接基本電路來(lái)實(shí)現(xiàn)FM調(diào)制
    發(fā)表于 10-27 17:04 ?54次下載
    FM<b class='flag-5'>調(diào)制</b>/<b class='flag-5'>解調(diào)</b><b class='flag-5'>電路</b>的設(shè)計(jì)方案分析

    gmsk調(diào)制解調(diào)matlab如何實(shí)現(xiàn)_兩種gmsk調(diào)制解調(diào)方式的實(shí)現(xiàn)

    本文主要介紹了兩種gmsk調(diào)制解調(diào)方式的實(shí)現(xiàn)。GMSK調(diào)制技術(shù)是從MSK(Minimum Shift Keying)
    發(fā)表于 04-16 16:24 ?1.4w次閱讀
    gmsk<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>matlab如何<b class='flag-5'>實(shí)現(xiàn)</b>_兩種gmsk<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>方式的<b class='flag-5'>實(shí)現(xiàn)</b>

    如何使用SystemView實(shí)現(xiàn)4QDPSK調(diào)制技術(shù)

    基于相位鍵控的一些基本方法運(yùn)用的正交查分相移相關(guān)知識(shí)研究π/4QDPSK編碼解碼技術(shù)。并且根據(jù)π/4QDPSK編碼解碼技術(shù)原理運(yùn)用System View 軟件
    發(fā)表于 12-29 16:48 ?19次下載
    如何使用SystemView<b class='flag-5'>實(shí)現(xiàn)</b>4<b class='flag-5'>QDPSK</b><b class='flag-5'>調(diào)制</b><b class='flag-5'>技術(shù)</b>

    如何使用軟件無(wú)線電實(shí)現(xiàn)QDPSK調(diào)制解調(diào)算法的硬件

    介紹了應(yīng)用在軟件無(wú)線電技術(shù)中的4進(jìn)制相對(duì)相移鍵控調(diào)制解調(diào)算法原理, 完成了該調(diào)制解調(diào)算法的MATLAB 建模和仿真, 設(shè)計(jì)了基于Verilo
    發(fā)表于 06-30 17:01 ?6次下載
    如何使用軟件無(wú)線電<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>QDPSK</b><b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>算法的硬件