0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

通過FPGA對VGA進行控制實現(xiàn)任一彩色圖像顯示

電子設計 ? 來源:郭婷 ? 作者:電子設計 ? 2018-12-11 09:00 ? 次閱讀

引言

視頻圖形陣列顯示接口是微機系統(tǒng)使用的一種通用顯示接口,廣泛應用于智能控制系統(tǒng)中,作為系統(tǒng)的顯示終端.對于由嵌入式微處理器構成的圖像處理系統(tǒng)來說,采用VcA顯示輸出具有兼容性強.顯示內(nèi)容豐富的優(yōu)勢.同時,VGA顯示接口具有結構簡單.性能可靠.兼容性強.時序容易控制的特點.因此,結合FPGA的VGA圖像控制器,在嵌入式的圖像處理系統(tǒng)中有廣泛的應用前景.

目前大多數(shù)計算機與外部顯示設備之間都是通過模擬VGA接口連接,計算機內(nèi)部以數(shù)字方式生成的顯示圖像信息,被顯卡中的數(shù)字/模擬轉換器轉變?yōu)镽.G.B三原色信號和行.場同步信號,信號通過電纜傳輸?shù)斤@示設備中.

1 VGA顯示

常見的VGA接口的彩色顯示器,一般由cRT(陰極射線管)構成,色彩由R.G.B(紅:Red,綠:Green,藍:Blue)三基色組成.顯示采用逐行掃描的方式進行,即當掃描完一行時,再進行下一行的掃描,直到最后一行掃描完為止.VGA接口為顯示器提供兩類信號,一類是數(shù)據(jù)信號,一類是控制信號.

數(shù)據(jù)信號包括紅.綠.藍信號,簡稱RGB信號.控制信號包括水平同步信號(HSYNC)和垂直同步信號(VsYNc).向顯示器輸出不同的分辨率時,水平同步信號和垂直同步信號的頻率也不同.但是水平同步信號和垂直同步信號時序分析相同,在掃描時均需要經(jīng)過同步信號.同步后信號.行同步信號.同步后信號四個時段.僅以水平同步信號為例,其結構如圖1所示.

通過FPGA對VGA進行控制實現(xiàn)任一彩色圖像顯示

VGA接口的顯示器原理其實就相當于點陣,通過控制器的控制,點亮所在的行和列.所以水平同步信號是針對列像素而言的,而垂直同步信號是針對行像素而言的.

以顯示800×600的圖片大小為例,根據(jù)VGA的時序標準,選擇6hz的刷新頻率.水平同步信號的同步信號包含128個列像素,同步后沿信號為88個列像素,同步前沿信號為40個列像素,而屏幕顯示部分為800個列像素,所以一共需要1 28+88+40+800=1 056個列像素.場同步信號的同步信號包含4個行像素,同步后沿信號包含23個行像素,同步前沿信號包含1個行像素,而屏幕顯示部分為600個行像素,所以一共需要4+23+l+600=628個行像素,由此,液晶顯示器顯示一幅800×600的圖像,需要的行列像素分別為1056和628.

2系統(tǒng)總體框架設計

本系統(tǒng)以FPGA EP2C8Q208C8為核心芯片,通過對VGA接口的控制,實現(xiàn)彩色圖像的顯示,系統(tǒng)結構框圖如圖2所示.

通過FPGA對VGA進行控制實現(xiàn)任一彩色圖像顯示

2.1鎖相環(huán)PLL

鎖相環(huán)路是一種反饋控制電路,簡稱鎖相環(huán)(PLL),一種輸出一定頻率信號的振蕩電路,也稱為相位同步環(huán)(回路).該回路利用使外部施加的基準信號與PLL回路內(nèi)的振蕩器輸出的相位差恒定的反饋控制來產(chǎn)生振蕩信號.在網(wǎng)絡領域中,PLL用于從接收的信號中分離出時鐘信號,可以通過實際電路或軟件的方式實現(xiàn).

由于FPGA的系統(tǒng)時鐘為50MHz,而控制VGA的時鐘為40MHz,所以在對VGA接口的控制時需要進行時鐘轉換,通常可以通過分頻或者是調用IP核的方式實現(xiàn)轉換,但是由于通過自己寫的分頻程序假如在數(shù)據(jù)上處理不得當,容易產(chǎn)生毛刺,而調用quanersII軟件自帶的PLL內(nèi)核,不僅可以做到與系統(tǒng)時鐘同相,而且時鐘穩(wěn)定,能夠實現(xiàn)對VGA時序的嚴格控制,與硬件電路來實現(xiàn)鎖相環(huán)相比,調用PLJ.內(nèi)核不僅可以做到操作簡單,而且也節(jié)約了設計成本.

2.2存儲模塊ROM

只讀存儲(Read一0nly Memory,ROM)是一種只能讀出事先所存數(shù)據(jù)的固態(tài)半導體存儲器圈.在系統(tǒng)框圖中的三個ROM均用來存儲顏色信息,由于本設計中使用圖片的大小為128×128,即一共有128×128=16384個像素點,所以ROM的大小設置為16384.這里通過quanerII軟件調用FPGA的ROM內(nèi)核,將MATLAB提取的紅.綠.藍三基色數(shù)據(jù)經(jīng)quanusII軟件的處理,分別存入FPGA的ROMl.ROM2.ROM3中.在控制模塊中寫入ROM的地址,在分頻后的時鐘控制下,將數(shù)據(jù)讀到vGA的RGB三個引腳,實現(xiàn)液晶顯示器的圖片顯示.

2.3 MATLAB的數(shù)據(jù)提取

MATLAB作為強大的數(shù)據(jù)處理工具,其基本數(shù)據(jù)單位是矩陣,它的指令表達式與數(shù)學工程中常用的表達形式十分相似,故用MATLAB來解算問題要比用c,FORTRAN等語言簡捷得多。在新的版本中也加入了對C,FORTRAN,C++,JAVA的支持,可以直接調用,用戶也可以將自己編寫的實用程序導人MATIAB函數(shù)庫中方便自己以后調用,此外許多的MATLAB愛好者都編寫了一些經(jīng)典的程序,用戶可以直接進行下載就可以用,非常的方便.

由于MATLAB軟件中默認的圖片格式為。JPc和。BNP,因此在使用MATLAB前需將原始圖片通過Photo sh叩軟件進行格式轉換,轉換后保存圖片為。BMP格式,在彈出的位寬選擇對話框選擇24位,然后再通過MATLAB提取紅基色數(shù)據(jù),程序如下所示,結果被保存在Red.mif文件里:

通過FPGA對VGA進行控制實現(xiàn)任一彩色圖像顯示

由于本設計所使用的VGA是三位的數(shù)據(jù)接口,因此藍基色和綠基色數(shù)據(jù)也按照此方法提取.Red.mif中的數(shù)據(jù)是24位的,所以還需要對提取到的紅基色數(shù)據(jù)進行轉換.在MATLAB中做如下判斷將24位數(shù)據(jù)轉換為3位數(shù)據(jù).

通過FPGA對VGA進行控制實現(xiàn)任一彩色圖像顯示

3結果分析

圖像的顯示如圖3所示,顯示結果表明,由于原圖像是24位,即224種顏色,而FPGA控制的VGA只有3位即23種顏色,相比之下,以24位的圖像作為標準,VGA顯示的3位圖像有明顯失真的現(xiàn)象.

如果希望顯示的圖像更加清晰,那么需要將vGA的顯示接口重新作出電路修改,這樣才能達到清晰顯示的效果.

通過FPGA對VGA進行控制實現(xiàn)任一彩色圖像顯示

4結束語

在許多圖像處理系統(tǒng)中,需要將經(jīng)過處理的圖像顯示出來,如果采用傳統(tǒng)的辦法將圖像數(shù)據(jù)傳回電腦并通過顯示器顯示出來,那么在傳輸?shù)倪^程中就需要嵌入式系統(tǒng)CPU不斷的對所傳輸?shù)膱D像數(shù)據(jù)信號進行控制,這樣就造成了CPU資源的浪費,同樣系統(tǒng)還需要依賴電腦,降低了系統(tǒng)的靈活性.如果采用FPGA對顯示器的VGA接口進行設計,數(shù)據(jù)流只需要在整個系統(tǒng)的內(nèi)部流動,而不需要依靠計算機,實現(xiàn)了系統(tǒng)的最小化,大大減少了電路板的尺寸,增強了系統(tǒng)的可靠性和設計的靈活性.

VGA接口同樣也是LCD液晶顯示設備的標準接口,通過VGA控制LCD可以使整個系統(tǒng)變得小巧,攜帶方便,使得應用的范圍大大擴展.作者所在的全景視覺圖象處理項目中,正是需要把經(jīng)過處理的圖像實時地直接地顯示出來,撇開對計算機的需求,以滿足各種不同應用環(huán)境的需要.

通過FPGA對VGA接口的控制,不僅實現(xiàn)了FPGA對任一圖像的顯示,而且其內(nèi)部時鐘可調性為設計帶來了很大的方便,節(jié)約了硬件成本.此方案可以廣泛應用于數(shù)字視頻系統(tǒng).高分辨率的彩色圖片圖像處理.視頻信號再現(xiàn)等各種領域.

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1620

    文章

    21510

    瀏覽量

    598957
  • 顯示器
    +關注

    關注

    21

    文章

    4862

    瀏覽量

    139360
  • 控制系統(tǒng)

    關注

    41

    文章

    6420

    瀏覽量

    110104
  • VGA
    VGA
    +關注

    關注

    5

    文章

    520

    瀏覽量

    62596
收藏 人收藏

    評論

    相關推薦

    基于FPGA實現(xiàn)VGA彩色圖片顯示

    VGA進行控制實現(xiàn)任一彩色圖像顯示。
    發(fā)表于 03-24 11:54 ?4271次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b><b class='flag-5'>VGA</b>的<b class='flag-5'>彩色</b>圖片<b class='flag-5'>顯示</b>

    利用可編程器件CPLD/FPGA實現(xiàn)VGA圖像控制器的設計方案

    利用可編程器件CPLD/FPGA實現(xiàn)VGA彩色顯示控制器在工業(yè)現(xiàn)場中有許多實際應用。以硬件描述語言VHDL對可編程器件
    發(fā)表于 08-30 12:03 ?1032次閱讀
    利用可編程器件CPLD/<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b><b class='flag-5'>VGA</b><b class='flag-5'>圖像</b><b class='flag-5'>控制</b>器的設計方案

    基于FPGA的Uart發(fā)送圖像數(shù)據(jù)到VGA顯示

    串口發(fā)送圖像數(shù)據(jù)至FPGA,FPGA內(nèi)部將圖像數(shù)據(jù)存儲,最后掃描到VGA屏幕上
    發(fā)表于 08-28 11:28

    VGA圖像顯示原理

    VGA 顯示控制器的實現(xiàn)過程以前,首先需要了解 VGA顯示原理。
    發(fā)表于 12-13 11:53

    如何利用FPGA實現(xiàn)VGA彩色圖片顯示?

    如何利用FPGA實現(xiàn)VGA彩色圖片顯示?
    發(fā)表于 06-02 06:20

    VGA圖形控制器的FPGA實現(xiàn)

    經(jīng)過硬件平臺的驗證,基于FPGAVGA 圖形顯示器已達到設計要求,可實現(xiàn)彩條、漢字、小圖像和大圖像
    發(fā)表于 06-08 09:55 ?2099次閱讀
    <b class='flag-5'>VGA</b>圖形<b class='flag-5'>控制</b>器的<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b>

    基于FPGA的串口通訊與VGA顯示

    本文介紹了基于FPGA(現(xiàn)場可編程門陣列)具有串口控制功能的VGA顯示圖像的設計實現(xiàn)方案。
    發(fā)表于 09-19 15:26 ?291次下載
    基于<b class='flag-5'>FPGA</b>的串口通訊與<b class='flag-5'>VGA</b><b class='flag-5'>顯示</b>

    基于FPGAVGA圖像控制器的設計與實現(xiàn)

    基于FPGAVGA圖像控制器的設計與實現(xiàn)
    發(fā)表于 08-30 15:10 ?13次下載

    基于Zedboard FPGAVGA圖像信號采集系統(tǒng)的設計

    根據(jù)VGA(Video Graphic Array)的原理,采用VHDL硬件描述語言,設計了種基于Zedboard FPGA板卡的圖像顯示
    發(fā)表于 11-18 12:42 ?2260次閱讀

    VGA顯示與基于FPGAVGA彩色圖片顯示設計

    FPGAVGA 進行控制,實現(xiàn) 任一彩色
    發(fā)表于 11-30 14:57 ?63次下載
    <b class='flag-5'>VGA</b><b class='flag-5'>顯示</b>與基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>VGA</b><b class='flag-5'>彩色</b>圖片<b class='flag-5'>顯示</b>設計

    vga圖像顯示_fpga控制vga顯示圖片

    本文為大家分享fpga控制vga顯示圖片的方法。
    發(fā)表于 01-15 15:56 ?7839次閱讀

    應用于彩色顯示器的VGA時序信號和彩條圖像信號的設計與實現(xiàn)

    利用現(xiàn)場可編程邏輯器件產(chǎn)生VGA時序信號和彩條圖像信號,并將其作為信號源,應用于電視機或計算機等彩色顯示器的電路開發(fā),方便彩色顯示器驅動控制
    的頭像 發(fā)表于 05-03 09:09 ?4121次閱讀
    應用于<b class='flag-5'>彩色顯示</b>器的<b class='flag-5'>VGA</b>時序信號和彩條<b class='flag-5'>圖像</b>信號的設計與<b class='flag-5'>實現(xiàn)</b>

    FPGA入門系列實驗教程之VGA彩色信號顯示的詳細資料說明

    本實驗是用 FPGA實現(xiàn) VGA 圖像控制器,控制顯示
    發(fā)表于 06-25 17:40 ?11次下載

    如何使用FPGA實現(xiàn)VGA圖像控制器的設計論文免費下載

    依據(jù)VGA顯示原理。利用VHDL作為設計語言.設計了種基于現(xiàn)場可編程器件FPGAVGA圖像
    發(fā)表于 01-29 15:27 ?20次下載
    如何使用<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b><b class='flag-5'>VGA</b><b class='flag-5'>圖像</b><b class='flag-5'>控制</b>器的設計論文免費下載

    基于FPGA的多圖片動態(tài)顯示VGA系統(tǒng)

    本文使用FPGA芯片,在 QuartusⅡI工作平臺下,利用 Verilog硬件描述語言,實現(xiàn)VGA多幅圖片動態(tài)彩色顯示系統(tǒng)的設計。設計中將VGA
    發(fā)表于 06-01 10:08 ?27次下載