0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

電子設計 ? 來源:郭婷 ? 作者:電子設計 ? 2019-05-06 08:22 ? 次閱讀

一 引言

TDI CCD能在不犧牲空間分辨率和工作速度的情況下獲得高靈敏度,這個突出特點使其 在高速、微光領域具有廣泛的應用前景。在科研任務中,新型TDI CCD器件研制時間過長、 供貨不及時等原因會嚴重影響科研生產任務的進度。另外,TDI CCD器件的造價往往很高, 工藝復雜,并且有些新型TDI CCD器件的驅動要求復雜,多電源供電,上下電順序嚴格,這 樣在實驗中就容易引起器件的損壞,造成巨大的損失。這些在電路的調試階段經常發(fā)生。所 以我們迫切需要設計一種能在設備研制過程中和電路的調試階段模擬實現(xiàn)TDI CCD器件電 性輸入輸出功能的模擬裝置來解決上述問題。為此,本文設計了一種TDI CCD電性模擬器, 能在不用TDI CCD的條件下完成各種實驗任務。

二 TDI CCD電性輸入輸出原理

TDI CCD 為時間延遲積分型線陣CCD,它采用多次曝光的方法來提高靈敏度。本文以某 款新型TDI CCD 為例來說明其驅動與輸出視頻信號的關系。此TDI CCD 電性輸入輸出原 理如圖1 所示。在其所有的驅動信號中,與輸出有直接對應關系的主要是兩相讀出信號CR1、 CR2 和復位信號RST。其中CR1 和CR2 是完全倒相的關系。在圖1 中,當TDI CCD 開始 讀有效的視頻信號時,輸出視頻信號OS 在每個RST 信號的上升沿時復位,即在輸出視頻 信號OS 上出現(xiàn)復位干擾脈沖A 段,然后立即回到參考電平B 段。TDI CCD 芯片在CR1 信 號的上升沿處開始讀積分得來的像元信號反映在輸出視頻信號OS 上就是C 段。 A、B、C 三段即構成一個完整的TDI CCD 像元輸出信號單元。由此可知,輸出OS 信號的輸出時序 由兩個驅動信號CR1 和RST 信號決定。

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

對于大多數的 TDI CCD 來講,驅動信號CR、RST 高低電平的相差幅度都是比較大的, 有時還有可能是負電平,輸出信號OS 也都集中在比較高的電平上。例如本例中的TDI CCD 中CR 的高低電平各為10V 和0V,RST 的高低電平各為+3V 和-3V。輸出OS 的范圍是 +9V-+7V 之間,其中參考電平B 為+8V。設計TDI CCD 電性模擬器必須按照圖1 所示 時序關系完成電輸入輸出功能,同時也要處理好電平大小關系。

三 系統(tǒng)的原理及設計

為了要按照圖 1 所示模擬出TDI CCD 的電性輸入輸出關系,本設計所采用的方案是高速 運放作輸入電平轉換,用FPGA 作主控單元,高速DAC 作數模轉換,高速運放作輸出的結 構。如圖2 所示,系統(tǒng)具體由四部分組成:輸入處理電路、FPGA 單元、數模轉換電路、輸 出處理電路。其工作原理如下:輸入處理電路把TDI CCD 驅動信號CR、RST 轉化成可輸 入的FPGA 信號Crin、RSTin,然后FPGA 單元再按照圖1 的時序關系輸出十位的數字信號 DB0~DB9 和時鐘CLK 到DAC。再經DAC 進行數模轉換之后輸出是差分形式的模擬TDI CCD 信號,最后經由輸出處理電路使其成為與TDI CCD 輸出信號一致的視頻信號OS。

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

3.1 輸入驅動信號處理電路

TDI CCD 芯片的輸入驅動信號一般為電壓差較大的兩電平信號,且可能有負電平,不能 直接輸入FPGA,必須先處理。如圖1 所示,本設計中的TDI CCD 讀出信號CR1、CR2 都 是+3V 到-3V 的兩電平信號,電壓差為6V。復位信號RST 的高低電平各為10V 和0V, 電壓差為10V。所以采用的處理電路既要能提升負電平又要減小幅值,在本設計中采用高速 集成運放AD812 來實現(xiàn)這一功能[3]。如圖所示:

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

依公式(2),圖3 中引入Vref 就可以把驅動信號中的負電平提升到正區(qū)間來,適當的選 取Vref、R4/R2 值,就能使Vout 與FPGA 電平匹配。例如處理CR1 信號時,取Vref 為-3V,R4/R2 為0.5,把CR 接到Vin 上,則Vout 輸出區(qū)間為0~3V。同理,將Vref 接地, R4/R2 為 0.3, 把RST 接到Vin 上,則Vout 輸出區(qū)間為0~3V。這樣就可以安全輸入到FPGA 中。

3.2 FPGA 單元

FPGA 單元是本設計的核心器件,為保證系統(tǒng)的性能同時兼顧價格因素,本設計采用了 Xilinx 公司的高性能低成本FPGA 芯片Spartan3 XC3S50。它采用90nm 工藝技術以及300mm 晶圓,大大降低了FPGA 的成本。和其它同類器件相比,具有最低的價格而能提供更高的 容量和性能。設計語言采用Verilog HDL 硬件描述語言[4]。

FPGA 完成的功能是按照圖1 所示輸入輸出信號的時序,由驅動信號CR 和RST 觸發(fā)相應 的給DAC9750 的十位數字信號DB0~DB9 和時鐘信號CLK。具體關系如前所述。其處理流 程如下:首先由時序判斷電路來判斷輸入的驅動信號的上升沿。當有RST 的上升沿來時, 由其時序關系可知FPGA 的輸出為圖1 中A 段尖峰脈沖電平的十位數字信號。然后經計數 器延遲一小段時間后,輸出為B 段對應的復位參考電平的十位數字信號。因CR1 與CR2 互 為反相關系,僅用一相CR1 來判斷就可以。當有CR1 的上升沿來時,F(xiàn)PGA 的輸出為C 段 視頻信號電平對應的十位數字信號。此視頻信號可根據不同的要求變化大小,可以是亮度漸 變的視頻信號,也可以是預先設定的信號,這樣既可以模擬出各種的TDI CCD 輸出又可以 模擬疊加噪聲,以滿足不同實驗的需要。

Verilog HDL 語言設計主要有三個模塊:輸入時序判斷模塊根據CR1、RST 的不同狀態(tài)來 判定某一時刻的輸出到底是圖1 中的A,B,C 哪種狀態(tài)。輸出控制模塊再把相應的輸出轉換 成DB9~DB0 和CLK,其中,對于有效狀態(tài)C,可以去讀取波形存儲模塊中的數據來滿足不 同實驗的需求。對于一個簡單的應用,TDI CCD 輸出頻率為8Mhz 且輸出為亮度遞增,其 仿真波形如圖4 所示:

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

由此可以看出,本設計還具有驅動時序檢測的功能,當所用的驅動時序不是嚴格如圖1 所示的時序的話,就不能輸出正確的TDI CCD 視頻信號。

3.3 數模轉換

為保證 TDI CCD 的高速、低噪聲要求,設計采用了Analog Device 公司的高速D/A 轉換 芯片AD9750。它是一款雙路電流輸出型、最大輸出電流為20mA、輸出阻抗大于100MΩ、最大轉換速率為125MSPS 的十位高速數模轉換器。它接收由FPGA 產生的十位數字信號和 時鐘信號,并把轉成模擬信號以電流形式輸出。為滿足電路的低噪聲要求,本設計采用了雙 路差分電流輸出[5]。

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

VrefIO 設為內部參考電壓1.25V,取Rset=2kΩ,則IoutFS=20mA,為AD9750 的最大電流輸出值。

3.4 輸出處理

由 AD9750 產生的雙路模擬電流差分信號要轉換成相應的電壓形式。AD9750 的輸出負 載可以有多種形式,為了最大限度的保證信號精度,減少信號間干擾及噪聲,設計中采用了 雙路輸出加到運算放大器AD8055 上的結構。如圖5 所示: AD9750 的輸出IoutA 及 IoutB 分別接25Ω的負載。電容C5 起到低通濾波和減小運算放大器的輸出失真的作用。這樣雙路 差分信號經AD8055 轉成單端信號輸出。

使用TDI CCD芯片的電性模擬器可進行驅動時序檢測

由 AD8055 輸出的電壓信號的幅值范圍為:-1V~+1V,TDI CCD 視頻輸出信號的范圍大約 在+9V~+7V 之間,所以要經過一個電壓提升的電路使輸出符合要求。所采用的電路如圖3 所示的電路結構。把一個-8V 的直流電平接到反相端,AD8055 的輸出信號接到同相端。 放大倍數為1,最后得到的輸出即是TDI CCD 視頻信號。

3.5 提高信號信噪比

按照上述方法,可以由TDI CCD 的驅動得到相應的視頻輸出信號。但是由于TDI CCD 的 工作頻率比較高,使得整個系統(tǒng)的工作頻率都很高。所以,如何去除掉干擾和噪聲,提高信 號質量是本設計的重要問題。為此,采取了以下措施:

PCB 板布局是產生干擾的,也是去除干擾的關鍵。本設計重點考慮FPGA 與高速 DAC 以及輸出運放之間的布局。盡量減少高速信號線的線長,特別是FPGA 與高速DAC 之間的線長。對電源濾波,減少電源帶來的干擾。合理設計地平面,采用數字地和模擬地分 開的方式,減少各器件間的干擾。

四 結束語

本文設計的TDI CCD 電性模擬器能模擬出TDI CCD 芯片的電性輸入輸出功能,同時具有 一定的驅動時序檢測功能,它能替代昂貴的TDI CCD 芯片完成部分相關的實驗工作,且功 能基本滿足要求。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關注

    關注

    450

    文章

    49636

    瀏覽量

    417224
  • CCD
    CCD
    +關注

    關注

    32

    文章

    870

    瀏覽量

    141866
  • 模擬器
    +關注

    關注

    2

    文章

    856

    瀏覽量

    42979
收藏 人收藏

    評論

    相關推薦

    基于CPLD芯片MAX7000AE實現(xiàn)TDI/CCD驅動時序的設計

    電荷耦合器件(CCD)作為新興固體成像器件,具有體積小、重量輕、分辨率高、靈敏度高、可靠好等優(yōu)點,廣泛應用于圖像傳感、景物識別、非接觸測量等領域。TDI/CCD (時間延時積分、電荷
    發(fā)表于 12-30 10:43 ?2075次閱讀
    基于CPLD<b class='flag-5'>芯片</b>MAX7000AE實現(xiàn)<b class='flag-5'>TDI</b>/<b class='flag-5'>CCD</b><b class='flag-5'>驅動</b><b class='flag-5'>時序</b>的設計

    高速EM CCD圖像傳感CCD97時序驅動電路的設計方法

    邏輯器件的編程,能實現(xiàn)任意復雜的時序邏輯, 且調試方便, 只使用一片集成電路以及少數外圍器件, 故可靠高。本文即采用這種方法, 實現(xiàn)了CCD97 所需的12 路驅動
    發(fā)表于 11-13 11:13

    TDI-CCD圖像傳感

    TDI-CCD器件作為焦平面探測可以減小相對孔徑,從而可減小探測器重量和體積。因此TDI-CCD器件一出現(xiàn),便在工業(yè)檢測、空間探測、航天遙感、微光夜視探測等領域中得到了廣泛的應用?!?/div>
    發(fā)表于 11-15 15:07

    一種多普勒模擬器的設計與實現(xiàn)The Design and I

    本文介紹了一種成功應用于某自主外測系統(tǒng)的多普勒模擬器的設計與實現(xiàn)。該模擬器易于使用,控制靈活。在系統(tǒng)聯(lián)調期間,該模擬器可進行極小步進、快速掃頻,取得了滿意
    發(fā)表于 05-25 15:14 ?33次下載

    TDI-CCD圖像傳感電荷包總轉移效率分析

    針對TDI-CCD圖像傳感中電荷包的轉移損失問題,嚴格而詳細地分析了TDI-CCD圖像傳感中電荷包轉移過程,建立了TDI-CCD圖像傳感
    發(fā)表于 07-17 09:11 ?20次下載

    基于FPGA 的TDI-CCD 時序電路的設計

    為解決TDI-CCD 作為遙感相機的圖像傳感在使用中所面臨的時序電路設計問題,文中較為詳細地介紹了TDI-CCD 的結構和工作原理,并根據工程項目所使用的ILE2
    發(fā)表于 01-12 09:54 ?21次下載

    爆管模擬器電路圖

    爆管模擬器電路圖
    發(fā)表于 06-30 13:29 ?1809次閱讀
    <b class='flag-5'>電</b>爆管<b class='flag-5'>模擬器</b>電路圖

    線陣CCD驅動時序模擬信號處理的設計

    為保證線陣CCD在圖像測量中正常、穩(wěn)定工作.必須設計出適合其工作的時序驅動電路。在分析TCDl501D線陣CCD驅動
    發(fā)表于 11-07 15:08 ?148次下載
    線陣<b class='flag-5'>CCD</b><b class='flag-5'>驅動</b><b class='flag-5'>時序</b>及<b class='flag-5'>模擬</b>信號處理的設計

    采用FPGA設計科學級CCD相機時序發(fā)生

    科學級CCD相機一般由高速CCD感光芯片、視頻信號處理、時序控制、
    發(fā)表于 11-24 14:24 ?2156次閱讀
    采用FPGA設計科學級<b class='flag-5'>CCD</b>相機<b class='flag-5'>時序</b>發(fā)生<b class='flag-5'>器</b>

    采用ispLSI器件設計CCD時序發(fā)生進行仿真驗證

    CCD驅動電路的設計是CCD應用的關鍵問題之一。由于不同廠家生產的CCD驅動時序不盡相同,同一
    的頭像 發(fā)表于 06-04 08:03 ?2704次閱讀
    采用ispLSI器件設計<b class='flag-5'>CCD</b><b class='flag-5'>時序</b>發(fā)生<b class='flag-5'>器</b>并<b class='flag-5'>進行</b>仿真驗證

    基于CPLD的CCD相機圖像信號模擬器的設計

    關鍵詞:CCD , CPLD , 相機圖像 , 信號模擬器 1 引言 多年來CCD 器件以體積小、重量輕、功耗小、工作電壓低和抗燒毀等優(yōu)點以及在分辨率、動態(tài)范圍、靈敏度、實時傳輸、自掃描等特性
    發(fā)表于 02-12 09:01 ?514次閱讀

    使用FPGA實現(xiàn)線陣CCD驅動時序模擬信號處理的設計說明

    為保證線陣CCD在圖像測量中正常、穩(wěn)定工作,必須設計出適合其工作的時序驅動電路。在分析TCD1501D 線陣CCD驅動
    發(fā)表于 11-21 16:58 ?13次下載
    使用FPGA實現(xiàn)線陣<b class='flag-5'>CCD</b><b class='flag-5'>驅動</b><b class='flag-5'>時序</b>及<b class='flag-5'>模擬</b>信號處理的設計說明

    CCD的工作原理和幾種產生CCD驅動時序方法

    本文在介紹了CCO工作原理、分析了CCD輸出信號中混有的芥種噪聲的基礎上,提出幾種產生CCD驅動時序方法,重點介紹了選用FGPA(現(xiàn)場可編程邏輯門陣列)來作為
    發(fā)表于 12-06 15:36 ?22次下載
    <b class='flag-5'>CCD</b>的工作原理和幾種產生<b class='flag-5'>CCD</b><b class='flag-5'>驅動</b><b class='flag-5'>時序</b>方法

    如何分析高分辨力TDI-CCD遙感相機的特性

    -CCD的工作原理和主要特性進行詳細分析,發(fā)現(xiàn)TDI -CCD不僅具有高靈敏度,而且對于相機的分辨能力、信噪比和響應非均勻等特性都有不同
    發(fā)表于 04-20 17:59 ?22次下載
    如何分析高分辨力<b class='flag-5'>TDI-CCD</b>遙感相機的特性

    TDI-CCD的工作原理

    ?TDI-CCD的工作原理與普通線陣CCD的工作原理有所不同,它要求行掃速率與目標的運動速率嚴格同步,否則就不能正確的提取目標的圖像信息。當應用TDI-CCD對運動目標成像時,與其他視頻掃描方法相比具有一系列優(yōu)點,其中包括靈敏度
    的頭像 發(fā)表于 08-28 14:40 ?1.9w次閱讀