0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

電子設(shè)計 ? 來源:郭婷 ? 作者:電子設(shè)計 ? 2019-05-03 09:01 ? 次閱讀

引言

通信系統(tǒng)中,基帶數(shù)字信號在遠距離傳輸,特別是在有限帶寬的高頻信道如無線或光纖信道上傳輸時,必須對數(shù)字信號進行載波調(diào)制,這在日常生活和工業(yè)控制中被廣泛采用。數(shù)字信號對載波頻率調(diào)制稱為頻移鍵控即FSK。FSK是用不同頻率的載波來傳送數(shù)字信號,用數(shù)字基帶信號控制載波信號的頻率,是信息傳輸中使用較早的一種調(diào)制方式。它的主要特點是:抗干擾能力較強,不受信道參數(shù)變化的影響,傳輸距離遠,誤碼率低等。在中低速數(shù)據(jù)傳輸中,特別是在衰落信道中傳輸數(shù)據(jù)時,有著廣泛的應(yīng)用。但傳統(tǒng)的FSK調(diào)制解調(diào)器采用“集成電路+連線”的硬件實現(xiàn)方式進行設(shè)計,集成塊多、連線復雜且體積較大,特別是相干解調(diào)需要提取載波,設(shè)備相對比較復雜,成本高。本文基于FPGA芯片,采用VHDL語言,利用層次化、模塊化設(shè)計方法,提出了一種2FSK調(diào)制解調(diào)器的實現(xiàn)方法。

調(diào)制信號是二進制數(shù)字基帶信號時,這種調(diào)制稱為二進制數(shù)字調(diào)制。在二進制數(shù)字調(diào)制中,載波的幅度、頻率和相位只有兩種變化狀態(tài)。相應(yīng)的調(diào)制方式有二進制振幅鍵控(2ASK),二進制頻移鍵控(2FSK)和二進制相移鍵控(2PSK)。2FSK就是用兩種不同頻率的載波來傳送數(shù)字信號。特別適合應(yīng)用于衰落信道,其占用頻帶較寬,頻帶利用率低,實現(xiàn)起來較容易,抗噪聲與抗衰減的性能較好,在中低速數(shù)據(jù)傳輸中得到了廣泛的應(yīng)用。

1 調(diào)制解調(diào)的基本原理

FSK就是利用載波信號的頻率變化來傳遞數(shù)字信息。

在2FSK中,載波的頻率隨二進制基帶信號在f1和f2兩個頻率點之間變化。故其表達式為:

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

也就是說,一個2FSK信號可以看成是兩個不同載頻的2ASK信號的疊加。因此,2FSK信號的時域表達式又可以寫成:

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

在移頻鍵控中, 和 不攜帶信息,通??梢粤詈?為零。因此,2FSK信號的表達式可簡化為:

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

其中:

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

2FSK信號的產(chǎn)生方法主要有兩種。一種可以采用模擬調(diào)頻電路來實現(xiàn),另一種可以采用鍵控法來實現(xiàn),即在二進制基帶矩形脈沖序列的控制下通過開關(guān)電路對兩個不同的獨立頻率源進行選通,使其在每個碼元Ts期間輸出f1或f2兩個載波之一。這種方法產(chǎn)生2FSK信號的差異在于:由調(diào)頻法產(chǎn)生的2FSK信號在相鄰碼元之間的相位是連續(xù)變化的。而鍵控法產(chǎn)生的2FSK信號,是由電子開關(guān)在兩個獨立的頻率源之間轉(zhuǎn)換形成,故相鄰碼元之間的相位不一定連續(xù)。

針對FSK信號的特點,我們可以提出基于FPGA的FSK調(diào)制器的一種實現(xiàn)方法--分頻法,這種方法是利用數(shù)字信號去控制可變分頻器的分頻比來改變輸出載波頻率,產(chǎn)生一種相位連續(xù)的FSK信號,而且電路結(jié)構(gòu)簡單,容易實現(xiàn)。在2FSK信號中,載波頻率隨著二元數(shù)字基帶信號(調(diào)制信號)的“1”或“0”而變化,“1”對應(yīng)于頻率為f1的載波,“0”對應(yīng)于頻率為f2的載波。2FSK的已調(diào)信號的時域表達式為:

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

2FSK信號的常用解調(diào)方法是采用非相干解調(diào)和相干解調(diào)。其解調(diào)原理是將2FSK信號分解為上下兩路2ASK信號分別進行解調(diào),然后進行判決。這里的抽樣判決是直接比較兩路信號抽樣值的大小,可以不專門設(shè)置門限。

判決規(guī)則應(yīng)與調(diào)制規(guī)則相呼應(yīng),調(diào)制時若規(guī)定“1”符號對應(yīng)載波頻率f1,則接收時上支路的樣值較大,應(yīng)判為“1”,反之則判為“0”。

2 2FSK調(diào)制器設(shè)計

2.1 分頻法實現(xiàn)2FSK調(diào)制器

鍵控法也常常利用數(shù)字基帶信號去控制可變分頻器的分頻比來改變輸出載波頻率,從而實現(xiàn)FSK的調(diào)制。實現(xiàn)2FSK調(diào)制的原理方框圖如圖1所示。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖1 2FSK調(diào)制實現(xiàn)原理框圖

其中FSK調(diào)制的核心部分包括分頻器、二選一選通開關(guān)等,圖中的兩個分頻器分別產(chǎn)生兩路數(shù)字載波信號;二選一選通開關(guān)的作用是以基帶信號作為控制信號,當基帶信號為“0”時,選通載波f1;當基帶信號為“1”時,選通載波f2。從選通開關(guān)輸出的信號就是數(shù)字FSK信號。這里的調(diào)制信號為數(shù)字信號。

2.2 仿真結(jié)果

整個設(shè)計使用VHDL語言編寫,以EPM7032LC44-15為下載的目標芯片,在MAX+PLUS II軟件平臺上進行布局布線后進行波形仿真,其中clk為輸入主時鐘信號;start為起始信號,當start為“1”的時候,開始解調(diào);q1為載波信號f1的分頻計數(shù)器,q2為載波信號f2的分頻計數(shù)器;f1、f2為載波信號;x為基帶信號;y為經(jīng)過FSK調(diào)制器后的調(diào)制信號;當輸入的基帶信號x=‘0’時,輸出的調(diào)制信號y為f1,當輸入的基帶信號x=‘1’時,輸出的調(diào)制信號y為f2。仿真結(jié)果如圖2所示。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖2 2FSK調(diào)制器仿真結(jié)果3 2FSK解調(diào)器設(shè)計

3.1 分頻法實現(xiàn)2FSK解調(diào)器

過零檢測法與其他方法比較,最明顯的優(yōu)點就是結(jié)構(gòu)簡單、易于實現(xiàn),而且對增益起伏不敏感,特別適用于數(shù)字化實現(xiàn)。它是一種經(jīng)濟、實用的最佳數(shù)字解調(diào)方法。

其方框圖如圖3所示。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖3 FSK過零檢測法。

它利用信號波形在單位時間內(nèi)與零電平軸交叉的次數(shù)來測定信號頻率。輸入的已調(diào)信號經(jīng)限幅放大后成為矩形脈沖波,再經(jīng)微分電路得到雙向尖脈沖,然后整流得到單向尖脈沖,每個尖脈沖代表信號的一個過零點,尖脈沖重復的頻率是信號頻率的兩倍。將尖脈沖去觸發(fā)一單穩(wěn)態(tài)電路,產(chǎn)生一定寬度的矩形脈沖序列,該序列的平均分量與脈沖重復頻率,即輸入頻率信號成正比。所以經(jīng)過低通濾波器的輸出平均量的變化反映了輸入信號的變化,這樣就完成了頻率-幅度的變換,把碼元“1”與“0”在幅度上區(qū)分開來,恢復出數(shù)字基帶信號。實現(xiàn)2FSK解調(diào)器的原理方框圖如圖4所示。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖4 2FSK解調(diào)實現(xiàn)原理框圖。

3.2 仿真結(jié)果

在MAX+PLUS軟件平臺上進行布局布線后進行波形仿真,其中clk為輸入主時鐘信號;start為起始信號,當start為“1”的時候,開始解調(diào);x為輸入信號,本文中在調(diào)制階段的被調(diào)制信號,即是調(diào)制信號中的輸出信號,y為輸出信號,在正常情況下y就是在調(diào)制信號中的輸入信號,在q=11時,m清零。在q=10時,根據(jù)m的大小,進行對輸出基帶信號y的電平的判斷。在q為其它值時,計數(shù)器m計下xx(寄存x信號)的脈沖數(shù)。輸出信號y滯后輸入信號×10個clk。仿真結(jié)果如圖5所示。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖5 FSK解調(diào)仿真結(jié)果。

4 2FSK調(diào)制解調(diào)器整體設(shè)計

在整體設(shè)計過程中,整體電路如圖6所示,其中x為基帶信號,y為經(jīng)過調(diào)制解調(diào)后的解調(diào)信號。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖6 整體電路。

調(diào)制解調(diào)器設(shè)計仿真結(jié)果如圖7所示。比較輸入信號x與輸出信號y,完全一樣,只是系統(tǒng)仿真結(jié)果有一定的延時。仿真結(jié)果表明,系統(tǒng)設(shè)計正確 。

采用VHDL語言和FPGA芯片的2FSK調(diào)制解調(diào)器實現(xiàn)方案介紹

圖7 整體仿真結(jié)果。

5 結(jié)論

本文基于2FSK的基本原理,進行二進制調(diào)制解調(diào)器的設(shè)計。運用VHDL語言對器件進行功能描述, 在MAX+PLUS II軟件平臺上對所描述器件進行時序仿真,最后下載至目標芯片EPM7032LC44-15,分配合理引腳,進行仿真。設(shè)計過程中調(diào)制階段的基帶信號,經(jīng)調(diào)制仿真得到解調(diào)所需的輸入信號。解調(diào)階段對來自調(diào)制階段得到的信號進行解調(diào),所得解調(diào)信號即為原來調(diào)制基帶信號,起到了調(diào)制解調(diào)的作用。整個設(shè)計過程采用VHDL語言實現(xiàn),設(shè)計靈活、修改方便,具有良好的可移植性及產(chǎn)品升級的系統(tǒng)性。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598880
  • 調(diào)制解調(diào)器

    關(guān)注

    3

    文章

    836

    瀏覽量

    38595
  • vhdl
    +關(guān)注

    關(guān)注

    30

    文章

    816

    瀏覽量

    127951
收藏 人收藏

    評論

    相關(guān)推薦

    基于MSP430的FSK調(diào)制解調(diào)器

    FSK調(diào)制解調(diào)器芯片MC145442和MC145443等,電路比較復雜,靈活性不高,且難以應(yīng)用于線路干擾強的場合。
    發(fā)表于 03-04 17:24

    有關(guān)基于單片機的2FSK數(shù)字調(diào)制解調(diào)器

    大家好,請問大家有沒有用過單片機實現(xiàn)2FSK數(shù)字調(diào)制解調(diào)器呢?有的話吱一聲……謝謝!
    發(fā)表于 09-11 23:35

    【資料分享】基于FPGAFSK調(diào)制設(shè)計

    2FSK信號調(diào)制解調(diào)器,利用m序列的隨機性和確定性來產(chǎn)生輸入基帶信號,用分頻把時鐘信號分頻成兩個不同頻率的信號。詳細介紹了基于FPGA
    發(fā)表于 03-20 16:54

    LoRa?調(diào)制解調(diào)器相關(guān)設(shè)計操作

    (睡眠模式)下進行, 這樣既實現(xiàn)了遠距離調(diào)制能力又能使用標準的FSK或OOK調(diào)制技術(shù)。LoRaTM調(diào)制解調(diào)器
    發(fā)表于 08-03 15:11

    Virtex 2 Pro與Fargo Maestro 20 GSM調(diào)制解調(diào)器連接問題的解決辦法?

    你好,,我做的項目涉及從fpga向任何手機發(fā)送消息任何gsm調(diào)制解調(diào)器...所以需要接口Virtex 2Pro和GSM調(diào)制解調(diào)器..因為我設(shè)計了一個工作在9600波特率的UART ..
    發(fā)表于 06-13 20:28

    基于FPGA的MSK調(diào)制解調(diào)器設(shè)計與應(yīng)用

    提出了一種基于FPGA 的數(shù)字MSK 調(diào)制解調(diào)器設(shè)計方法,應(yīng)用VHDL 語言進行了模塊設(shè)計和時序仿真。硬件部分在Altera 公司 EP2C
    發(fā)表于 01-25 14:29 ?53次下載

    基于DSP的2FSK調(diào)制解調(diào)器的設(shè)計

    介紹了簡易V.23二進制頻移鍵控(2FSK調(diào)制解調(diào)器的工作原理、算法分析以及基于可編程器件DSP的軟件設(shè)計方法。
    發(fā)表于 07-27 17:50 ?66次下載

    調(diào)制解調(diào)器(MODEM)介紹

    調(diào)制解調(diào)器(MODEM)介紹  一.調(diào)制解調(diào)器用途:    調(diào)制解調(diào)器經(jīng)由公共電信網(wǎng)絡(luò)實現(xiàn)遠程通信的重要設(shè)備。主要用來將二進制數(shù)字信息轉(zhuǎn)
    發(fā)表于 08-01 09:55 ?2884次閱讀

    Quartus II平臺下實現(xiàn)全數(shù)字FSK調(diào)制解調(diào)器

    根據(jù)數(shù)字信號FSK調(diào)制解調(diào)的工作原理,采用層次化、模塊化方法設(shè)計了一種基于FPGA 芯片
    發(fā)表于 08-26 15:25 ?114次下載
    Quartus II平臺下<b class='flag-5'>實現(xiàn)</b>全數(shù)字<b class='flag-5'>FSK</b><b class='flag-5'>調(diào)制解調(diào)器</b>

    認知無線電中自適應(yīng)調(diào)制解調(diào)器FPGA實現(xiàn)

    在無線電中,分析調(diào)制解調(diào)器的轉(zhuǎn)換作用和,認知無線電中自適應(yīng)調(diào)制解調(diào)器FPGA實現(xiàn)。
    發(fā)表于 10-13 16:44 ?45次下載
    認知無線電中自適應(yīng)<b class='flag-5'>調(diào)制解調(diào)器</b>的<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b>

    FPGA實現(xiàn)2FSK數(shù)字信號調(diào)制解調(diào)

    基于FPGA調(diào)制解調(diào)的數(shù)字信號有多種,包括2ASK、2FSK、2PSK等,文中
    發(fā)表于 03-23 11:17 ?400次下載
    <b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b><b class='flag-5'>2FSK</b>數(shù)字信號<b class='flag-5'>調(diào)制</b><b class='flag-5'>解調(diào)</b>

    基于FPGA的BPSK調(diào)制解調(diào)器設(shè)計

    本文設(shè)計實現(xiàn)了一種新型的BPSK信號調(diào)制解調(diào)器,利用m序列的隨機性來產(chǎn)生輸入基帶信號,詳細介紹了基于FPGA的BPSK信號調(diào)制解調(diào)器的設(shè)計方
    發(fā)表于 03-31 15:06 ?71次下載
    基于<b class='flag-5'>FPGA</b>的BPSK<b class='flag-5'>調(diào)制</b>與<b class='flag-5'>解調(diào)器</b>設(shè)計

    基于MATLAB的simulink仿真2Fsk調(diào)制解調(diào)

    基于MATLAB的simulink仿真2Fsk調(diào)制解調(diào)
    發(fā)表于 11-12 10:36 ?33次下載

    關(guān)于MSP430的FSK調(diào)制解調(diào)器

    提出了一種基于 TIM SP430單片機的 FSK 調(diào)制解調(diào)器設(shè)計方案。
    發(fā)表于 04-26 14:15 ?21次下載
    關(guān)于MSP430的<b class='flag-5'>FSK</b><b class='flag-5'>調(diào)制解調(diào)器</b>

    基于VHDL的MSK調(diào)制解調(diào)器的建模與設(shè)計

    電子發(fā)燒友網(wǎng)站提供《基于VHDL的MSK調(diào)制解調(diào)器的建模與設(shè)計.pdf》資料免費下載
    發(fā)表于 10-18 10:46 ?0次下載
    基于<b class='flag-5'>VHDL</b>的MSK<b class='flag-5'>調(diào)制解調(diào)器</b>的建模與設(shè)計