0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

電子設(shè)計(jì) ? 來源:郭婷 ? 作者:電子設(shè)計(jì) ? 2019-05-08 08:18 ? 次閱讀

1 引言

∑-△調(diào)制器與數(shù)字抽取濾波器是∑-△ ADC 實(shí)現(xiàn)16bit 以上精度的關(guān)鍵電路模塊?!?△調(diào)制器依靠過采樣與高階閉環(huán)負(fù)反饋控制實(shí)現(xiàn)的噪聲整形技術(shù),將基帶內(nèi)的量化噪聲搬移到高頻段,而數(shù)字抽取濾波器則將帶外高頻段的噪聲加以濾除,同時(shí)將輸出頻率降低到輸入信號的奈奎斯特采樣頻率,最終實(shí)現(xiàn)對輸入信號高精度的模數(shù)轉(zhuǎn)換。

由于采用過采樣技術(shù),∑-△ ADC 對輸入信號帶寬有一定限制,比較適合低頻信號的模數(shù)轉(zhuǎn)換。

音頻信號44kHz 的奈奎斯特采樣頻率為例,在64倍的過采樣率下,即輸入采樣頻率為2.816MHz,4階∑-△調(diào)制器可實(shí)現(xiàn)16bit 的轉(zhuǎn)換精度;輸出PDM信號經(jīng)* 倍降采樣數(shù)字抽取濾波器的處理后恢復(fù)初始信號的奈奎斯特采樣頻率。為保持S - Δ調(diào)制器的精度性能,數(shù)字抽取濾波器的通帶截止頻率應(yīng)為20kHz、阻帶起始頻率為24kHz、阻帶衰減最小為80dB、通帶紋波為± 0.01dB、ADC 有效位數(shù)大于15bit。

∑-△ ADC 的精度和轉(zhuǎn)換速度由∑-△調(diào)制器決定,其中∑-△調(diào)制器的階數(shù)、過采樣率等參數(shù)直接決定了ADC 的分辨率,一般只需小規(guī)模的數(shù)模混合電路即可實(shí)現(xiàn),面積小且功耗低。用于濾除量化噪聲的數(shù)字濾波器,為維持調(diào)制器的高分辨率就必須具有一定量的阻帶衰減與很小的紋波,導(dǎo)致數(shù)字濾波器的階數(shù)過大,并直接導(dǎo)致硬件消耗與功耗的顯著增加。

本文針對高精度數(shù)字抽取濾波器IP 電路,進(jìn)行低成本與低功耗設(shè)計(jì)技術(shù)研究。在給定指標(biāo)約束下,通過對CIC 抽取濾波器結(jié)構(gòu)的改進(jìn),同時(shí)將多相結(jié)構(gòu)應(yīng)用到補(bǔ)償濾波器和半帶濾波器中,獲得比經(jīng)典結(jié)構(gòu)在硬件消耗與功耗上明顯的降低。

2 經(jīng)典 CIC 濾波器結(jié)構(gòu)

為確保數(shù)字濾波器信號的出路精度,采用單級抽取濾波器的階數(shù)將與輸入信號的采樣頻率成正比,而與過渡帶寬成反比。對于輸入頻率2.816MHz、過渡帶寬4kHz 的設(shè)計(jì)指標(biāo),采用單級抽取結(jié)構(gòu)的濾波器,由于頻率高、過渡帶窄而導(dǎo)致單級濾波器階數(shù)過大,可實(shí)現(xiàn)性差,因此采用多級抽取結(jié)構(gòu)成為必然。在多級結(jié)構(gòu)中,第一級抽取結(jié)構(gòu)的輸入頻率為系統(tǒng)最高的輸入頻率,但它的過渡帶寬很大;最后一級雖然過渡帶寬很窄,但輸入頻率降低;中間級的輸入頻率與過渡帶寬均較為適中,這樣的頻率與過渡帶分布配置,使得最終各級濾波器的總階數(shù)遠(yuǎn)小于單級抽取的階數(shù),因此多級結(jié)構(gòu)更具有實(shí)用價(jià)值。

CIC 是由Hogenauer提出的一種無需使用乘法器的濾波器結(jié)構(gòu),結(jié)構(gòu)簡單,采樣變換率高,可作為多級數(shù)字抽取濾波器中的輸入級。阻帶衰減為衡量CIC 性能的一個(gè)重要指標(biāo),在Matlab 下對抽取因子為64、32、…、2 的最小阻帶衰減進(jìn)行仿真,確定當(dāng)抽取因子最大為16 時(shí),阻帶衰減仍滿足設(shè)計(jì)要求,繼續(xù)增大抽取率導(dǎo)致性能下降,則最大抽取倍率為16。

CI C 濾波器的最大缺陷在于通帶內(nèi)的過多衰減,后面必須加一級補(bǔ)償濾波器以確保補(bǔ)償后的通帶紋波仍滿足指標(biāo)要求,補(bǔ)償濾波器同時(shí)還具備降采樣抽取功率,可選擇4 倍抽取,也可先進(jìn)行2 倍抽取,然后用一級半帶濾波器再完成2 倍抽取?;诙嗉壋槿∮欣诮档陀布Y源與功耗的原則,64倍降采樣數(shù)字抽取濾波器可采用如圖1 所示的三級結(jié)構(gòu)。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖1 系統(tǒng)結(jié)構(gòu)框圖

CIC 降采樣濾波器對系統(tǒng)性能的影響至關(guān)重要,其級聯(lián)級數(shù)D與S-Δ調(diào)制器的階數(shù)L之間存在D=L+1的關(guān)聯(lián),對于4 階∑-△調(diào)制器,D=5,在16 倍降采樣率下,CIC 濾波器的系統(tǒng)函數(shù)為:

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

采用Hogenauer 經(jīng)典結(jié)構(gòu)實(shí)現(xiàn)以上系統(tǒng)傳輸特性時(shí),可將級聯(lián)梳狀濾波器分解為積分器HC(z)與梳狀微分器HI(z)兩部分,然后采用層疊結(jié)構(gòu)實(shí)現(xiàn)。

由于HI(z)中的延遲因子需要16 個(gè)寄存器,采用置換原則,完成16 倍抽取后再進(jìn)行微分部分的計(jì)算,這樣就只需要一個(gè)延遲因子,由此得到如圖2 所示的經(jīng)典CIC 電路結(jié)構(gòu)框圖。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖2 經(jīng)典CIC濾波器電路結(jié)構(gòu)

CIC 中由于沒有乘法運(yùn)算,同時(shí)置換原則的應(yīng)用大大減少了寄存器的數(shù)量,面積和功耗得到有效控制。但是,經(jīng)典CIC 結(jié)構(gòu)的很大缺陷在于其中的積分器HC(s)為IIR 濾波器,即存在的輸出到輸入反饋使系統(tǒng)穩(wěn)定性無法保證,并導(dǎo)致數(shù)據(jù)溢出。設(shè)CIC 濾波器的級聯(lián)級數(shù)為N,抽取倍數(shù)為M,輸入與輸出位數(shù)分別為Bin 和Bout,則兩者間必須滿足以下關(guān)系:

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

根據(jù)設(shè)計(jì)指標(biāo)要求,Bin=1bit、M=24、N=5 時(shí),Bout=21bit,即整個(gè)電路中所有寄存器和加法器位數(shù)為21 位時(shí),系統(tǒng)才不會(huì)產(chǎn)生溢出,而輸出位數(shù)的大小決定了寄存器的位數(shù)以及涉及的計(jì)算量,并由此影響功耗與面積。

最終決定數(shù)字濾波器面積的是寄存器單元數(shù)量,通過估算其中1 位寄存器的數(shù)量可比較芯片面積的相對大??;由于沒有乘法器,則加法運(yùn)算決定了CIC 濾波器中的功耗,以1 個(gè)1 位加法器在一個(gè)輸出周期內(nèi)完成的加法次數(shù)作為估算功耗的基本單位。

圖2 中,一共有10 個(gè)延遲因子,若每個(gè)字長均取21 位,一共需要210 個(gè)1 位寄存器??偣灿?0 個(gè)21 位加法器,5 個(gè)工作在輸入頻率,5 個(gè)工作在輸出頻率,等效功率因子為:

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

計(jì)算得到等效功率相對因子為6 825,較大的功耗表明針對功耗面積優(yōu)化的CIC 尚有繼續(xù)改進(jìn)的空間。

3.CIC 濾波器的改進(jìn)設(shè)計(jì)

經(jīng)典CIC中IIR傳遞函數(shù)的遞歸結(jié)構(gòu)嚴(yán)重制約了CIC 濾波器的性能,消除IIR 成為改進(jìn)CIC 設(shè)計(jì)的基本出發(fā)點(diǎn)。將H(z)中的分子多項(xiàng)式因式分解并通過約分得到:

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

上式中沒有IIR 結(jié)構(gòu),從而消除了輸出到輸入的遞歸運(yùn)算,確保系統(tǒng)穩(wěn)定,結(jié)合置換原則,由此得到改進(jìn)的CIC 電路結(jié)構(gòu)如圖3 所示。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖3 非遞歸結(jié)構(gòu)CIC濾波器的實(shí)現(xiàn)框圖

這種非遞歸結(jié)構(gòu)所需要的1 位寄存器總數(shù)為R=1+2+3+?+20=210,而等效功耗因子P=(2+3+4+5+6)×16+(7+8+9+10+11)×8+(12+13+14+15+16)×4+(17+18+19+20+21)×2=1 130。與經(jīng)典結(jié)構(gòu)相比,寄存器總數(shù)相等,但功耗減小到原來的1/ 6,采用這種非遞歸結(jié)構(gòu)不但改善了系統(tǒng)穩(wěn)定性,還大大提高了系統(tǒng)性能。由于電路實(shí)現(xiàn)時(shí)需采用層疊結(jié)構(gòu),5級結(jié)構(gòu)即需5 級層疊,如果其中有一級為4 或者更小,那就可以減小層疊數(shù),從而進(jìn)一步減小硬件和功耗。

阻帶衰減為衡量CIC 濾波器性能的一個(gè)重要指標(biāo)。通過犧牲部分阻帶衰減性能可減小層疊數(shù)。對于4 級結(jié)構(gòu),應(yīng)分別考慮各級在需要的衰減頻段處能達(dá)到的衰減值。在Matlab下分別仿真(1+z-8)、(1+z-4)、(1+z-2)、(1+z1)的幅頻特性,并依次設(shè)為第一級到第四級。設(shè)CIC 濾波器的零點(diǎn)為FZ,根據(jù)設(shè)計(jì)指標(biāo),中心頻率fC=22kHz,只要在所有FZ ± fC頻率范圍內(nèi)阻帶衰減值能達(dá)到80dB,CIC濾波器即可滿足設(shè)計(jì)指標(biāo)要求。由于最小衰減值出現(xiàn)在FZ-fC 與FZ+fC 關(guān)鍵頻率點(diǎn)處,在Matlab 下僅測試單級時(shí)關(guān)鍵頻率點(diǎn)處的阻帶衰減,結(jié)果如表1 所示。

表1 各級為單級時(shí)在關(guān)鍵頻率點(diǎn)處的阻帶衰減值

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

通過計(jì)算,當(dāng)?shù)谝患壍降谒募壍募壜?lián)級數(shù)分別減小為最低的5、4、3、3 時(shí),則最小阻帶衰減量計(jì)算為:

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

雖然以上降低層疊數(shù)的改進(jìn)結(jié)構(gòu)其最小阻帶衰減滿足設(shè)計(jì)要求,但后級補(bǔ)償濾波器無法使紋波達(dá)到設(shè)計(jì)要求,應(yīng)加大其中一級或兩級的級聯(lián)級數(shù)來降低補(bǔ)償后的通帶紋波,將級數(shù)增大后紋波的變化如表2 所示。

表2 級數(shù)增大后補(bǔ)償結(jié)果的變化

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

只有當(dāng)級聯(lián)級數(shù)為3、4 、5 、5 時(shí)補(bǔ)償后的結(jié)果接近設(shè)計(jì)指標(biāo)要求。將補(bǔ)償后的整體幅頻特性沿著Y 軸方向向下移動(dòng)約0.008dB 左右,這樣最大紋波為0.016 2-0.008=0.008 2dB,最小紋波為-0.000 37-0.008=-0.008 37dB,這樣紋波就在指標(biāo)范圍內(nèi),所以采用這種級聯(lián)方式可以滿足設(shè)計(jì)指標(biāo)要求。最終確定的CIC 濾波器系統(tǒng)函數(shù)為:

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖4 為改進(jìn)前后CIC 濾波器的幅頻響應(yīng),實(shí)線與虛線分別對應(yīng)為改進(jìn)前后的幅頻響應(yīng),改進(jìn)后的曲線比改進(jìn)前有明星的上升,部分點(diǎn)處上升的幅度還較大。但由于改進(jìn)后在關(guān)鍵點(diǎn)處能夠達(dá)到衰減的性能要求,犧牲其他非關(guān)鍵點(diǎn)處的衰減特性以降低硬件資源和功耗的設(shè)計(jì)策略還是非??扇〉摹?/p>

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖4 改進(jìn)前后的幅頻響應(yīng)比較

此時(shí),寄存器總數(shù)降低到R=1+2+3+?17=153,等效功耗因子P 降低為P=(2+3+4)× 16+(5+6+7+8)×8+(9+10+11+12+13)×4+(14+15+16+17+18)×2=732,比未改進(jìn)前少用了57 個(gè)寄存器,功耗則降低了35%,在保持紋波性能的前提下,硬件資源消耗和功耗明顯降低。

4 補(bǔ)償濾波器與半帶濾波器設(shè)計(jì)

改進(jìn)后的CIC 濾波器幅頻特性整體向上偏移,導(dǎo)致通帶頻率處的各點(diǎn)頻率向上移動(dòng),與改進(jìn)前幅度相同的點(diǎn)在改進(jìn)后的曲線上必然向前移動(dòng),即改進(jìn)后的頻率點(diǎn)相當(dāng)于左移。所以,針對改進(jìn)后的CIC 濾波器設(shè)計(jì)補(bǔ)償濾波器時(shí),其通帶截止頻率點(diǎn)相應(yīng)地也要左移。若將通帶截止頻率設(shè)為小于20kHz 的點(diǎn),通過調(diào)整參數(shù)以優(yōu)化補(bǔ)償后的紋波性能,即調(diào)整幅頻特性曲線后最終得到的通帶紋波為±0.006 2dB。

補(bǔ)償前后的通帶特性如圖5 所示,圖中下方曲線為CIC 濾波器在通帶范圍內(nèi)的幅頻響應(yīng),上方曲線為補(bǔ)償濾波器的幅頻響應(yīng),中間部分為補(bǔ)償后的幅頻響應(yīng)。顯然,經(jīng)補(bǔ)償后的曲線在通帶范圍內(nèi)變得更加平坦。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖5 補(bǔ)償前后的通帶幅頻特性

補(bǔ)償濾波器系統(tǒng)傳遞函數(shù)可采用如圖6所示的多相結(jié)構(gòu)實(shí)現(xiàn)方式。若采用傳統(tǒng)的直接型結(jié)構(gòu)實(shí)現(xiàn),所有的運(yùn)算單元將工作在2倍抽取頻率之前,功耗較大。

而多相結(jié)構(gòu)中,由于補(bǔ)償濾波器中所有的加法與乘法單元都工作在輸出頻率而非輸入頻率處,這樣在面積沒有增加的情況下,功耗將比直接型結(jié)構(gòu)節(jié)省近50%。

半帶濾波器完成最后的2 倍降采樣抽取,并使數(shù)字濾波器的總體性能達(dá)到指標(biāo)要求。在Matlab 下調(diào)用相應(yīng)的函數(shù)設(shè)計(jì)半帶濾波器,其通帶和阻帶幅頻特性如圖7 和圖8 所示。

同樣采用多相結(jié)構(gòu)實(shí)現(xiàn)半帶濾波器,得到的電路結(jié)構(gòu)如圖9 所示。如果采用直接型結(jié)構(gòu),則需要的寄存器數(shù)為102,而多相結(jié)構(gòu)的應(yīng)用可使寄存器數(shù)降低到76 個(gè),面積有一定程度的下降,并且由于所有運(yùn)算單元直接工作在輸出頻率,功耗也比直接型結(jié)構(gòu)降低了50%。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖6 補(bǔ)償濾波器的多相實(shí)現(xiàn)結(jié)構(gòu)

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖7 半帶濾波器的通帶幅頻響應(yīng)

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖8 半帶濾波器的阻帶幅頻響應(yīng)

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖9 半帶濾波器的多相結(jié)構(gòu)

5 仿真與驗(yàn)證

在matlab 下對64 倍降采樣及連抽取濾波器的幅頻響應(yīng)進(jìn)行仿真,結(jié)果如圖10 所示,其中補(bǔ)償濾波器和半帶濾波器的系數(shù)經(jīng)過了截位處理。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖10 系統(tǒng)總幅頻特性曲線

對數(shù)字濾波器的通帶紋波與阻帶衰減特性進(jìn)行仿真,相應(yīng)的幅頻響應(yīng)曲線如圖11和圖12所示。系統(tǒng)總通帶紋波為± 0.006dB,阻帶衰減在80dB以下,總體性能滿足設(shè)計(jì)要求。

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖11 系統(tǒng)通帶特性

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖12 系統(tǒng)阻帶特性

在Mat l ab 下建立整個(gè)抽取濾波器的模型,用Matlab工具包生成 ∑-Δ調(diào)制器的輸出信號進(jìn)行系統(tǒng)測試,輸出結(jié)果如圖13 和圖14 所示。

由于量化噪聲被基本濾除,濾波器的輸出得到所需的正弦信號。對整個(gè)抽取濾波器完成VerilogHDL 描述,其中運(yùn)用了Horner 法則以提高精度,采用CSD 碼對乘系數(shù)進(jìn)行編碼,乘法器直接采用移位和加法實(shí)現(xiàn)。最后,選用EP2C8Q208C8 并基于Quartus 工具綜合了整個(gè)抽取濾波器,系統(tǒng)共占用FPGA 的LE資源達(dá)5 435 個(gè),約占總數(shù)的66%。綜合后可得到的最高時(shí)鐘頻率為5 5 . 9 5MHz ,并且對Modelsim 下后仿輸出的數(shù)據(jù)進(jìn)行了FFT 分析,并計(jì)算其相應(yīng)的信噪比,圖15 為5kHz 信號的FFT輸出結(jié)果。

圖13 調(diào)制器輸出信號

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖14 濾波器輸出信號

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

圖15 5kHz 信號FFT 分析結(jié)果圖

在0~20kHz 范圍內(nèi)選擇足夠的頻率點(diǎn)進(jìn)行測試,測試結(jié)果如表3 所示,輸出數(shù)據(jù)的有效位數(shù)均滿足大于15bit 的設(shè)計(jì)要求。

表3 選取頻率點(diǎn)輸出數(shù)據(jù)的信噪比

改進(jìn)型64倍降采樣數(shù)字抽取濾波器的設(shè)計(jì)與仿真分析

6 結(jié)論

本文提出了一種面積小功耗低的數(shù)字抽取濾波器的設(shè)計(jì)。設(shè)計(jì)結(jié)構(gòu)在過采樣率很高時(shí)更能體現(xiàn)出它的優(yōu)勢。通過適當(dāng)犧牲CIC 濾波器的阻帶衰減特性以換取精度與硬件資源之間的平衡折衷,面積略有下降而功耗則比經(jīng)典結(jié)構(gòu)降低了35%;采用多相結(jié)構(gòu)實(shí)現(xiàn)補(bǔ)償濾波器和半帶濾波器,均可使其功耗降低近50%,同時(shí)半帶濾波器的硬件消耗也有明顯下降。通過FPGA 驗(yàn)證,改進(jìn)后的64 倍降采樣抽取數(shù)字濾波器可滿足15bi t 精度處理的要求。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 濾波器
    +關(guān)注

    關(guān)注

    158

    文章

    7596

    瀏覽量

    176581
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3972

    瀏覽量

    132962
  • ADV
    ADV
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    24087
收藏 人收藏

    評論

    相關(guān)推薦

    ∑-△ADC的采樣濾波器方案

    本文介紹了一種帶寬150 kHz、16 bit∑-△模數(shù)轉(zhuǎn)換中的采樣濾波器的設(shè)計(jì)與實(shí)現(xiàn)。
    發(fā)表于 03-19 14:17 ?5429次閱讀
    ∑-△ADC的<b class='flag-5'>降</b><b class='flag-5'>采樣</b><b class='flag-5'>濾波器</b>方案

    一種性能良好的高效CIC抽取濾波器的設(shè)計(jì)

    對CIC抽取濾波器的結(jié)構(gòu)進(jìn)行分解,得出一個(gè)能實(shí)現(xiàn)任意抽取因子的改進(jìn)的CIC抽取濾波器的實(shí)現(xiàn)結(jié)構(gòu)。
    發(fā)表于 06-02 10:07

    數(shù)字濾波器設(shè)計(jì)

    256KHZ,輸出1bit數(shù)字信號,現(xiàn)需要采樣,抽取因子128,要求最終輸出24bit,擬用三級結(jié)構(gòu),第一級為5階的抽取率為32的cic
    發(fā)表于 02-26 15:52

    數(shù)字濾波器設(shè)計(jì)

    256KHZ,輸出1bit數(shù)字信號,現(xiàn)需要采樣抽取因子128,要求最終輸出24bit,擬用三級結(jié)構(gòu),第一級為5階的抽取率為32的cic
    發(fā)表于 02-26 22:00

    零基礎(chǔ)學(xué)FPGA(三十一)寫在京城,Hogenauer CIC抽取濾波器的FPGA實(shí)現(xiàn)筆記

    叫做抗混疊低通濾波器,用來限制信號的頻帶,然后再進(jìn)行抽取,這樣的話我們來算一下低通濾波器的截止頻率就是1/2的經(jīng)抽取后的
    發(fā)表于 08-29 15:25

    CIC抽取濾波器MATLAB仿真和FPGA實(shí)現(xiàn)

    CIC抽取濾波器MATLAB仿真和FPGA實(shí)現(xiàn)(1)設(shè)計(jì)理想濾波器目標(biāo):1、濾波器在有效頻段內(nèi)紋波滿足設(shè)計(jì)要求。2、
    發(fā)表于 08-17 08:27

    CIC抽取濾波器改進(jìn)及其FPGA的實(shí)現(xiàn)

    為補(bǔ)償傳統(tǒng)CIC濾波器的通帶衰減,提出一種改進(jìn)型的CIC抽取濾波器,即在SCIC濾波器之后級聯(lián)一個(gè)二階多項(xiàng)式內(nèi)插
    發(fā)表于 03-15 14:06 ?52次下載

    級聯(lián)COSINE濾波器抽取濾波中的研究

    為了解決高速抽取濾波器系統(tǒng)中傳統(tǒng)CIC濾波器旁瓣抑制不夠的問題,通過對級聯(lián)COSINE抽取濾波器和傳統(tǒng)CIC
    發(fā)表于 05-03 18:11 ?31次下載
    級聯(lián)COSINE<b class='flag-5'>濾波器</b>在<b class='flag-5'>抽取</b><b class='flag-5'>濾波</b>中的研究

    多速率采樣中的CIC濾波器設(shè)計(jì)與分析

    CIC濾波器是常用于多速率采樣抽取或內(nèi)插過程中的高效濾波器,具有結(jié)構(gòu)簡單,易于工程實(shí)現(xiàn)的特點(diǎn)。以提高采樣速率為例,首先介紹了內(nèi)插理論和CtC
    發(fā)表于 09-20 15:12 ?73次下載
    多速率<b class='flag-5'>采樣</b>中的CIC<b class='flag-5'>濾波器</b>設(shè)計(jì)與<b class='flag-5'>分析</b>

    4階24抽取CIC濾波器設(shè)計(jì)

    4階24抽取CIC濾波器設(shè)計(jì),有興趣的同學(xué)可以下載學(xué)習(xí)
    發(fā)表于 04-27 15:51 ?27次下載

    一種改進(jìn)型比例積分環(huán)路濾波器的設(shè)計(jì)

    一種改進(jìn)型比例積分環(huán)路濾波器的設(shè)計(jì)_胡建來
    發(fā)表于 01-07 19:08 ?3次下載

    數(shù)字下變頻中抽取濾波器的設(shè)計(jì)及FPGA實(shí)現(xiàn)

    針對軟件無線電接收機(jī)數(shù)字下變頻中高速數(shù)字信號的采樣需求,利用半帶濾波器及級聯(lián)積分梳狀濾波器,設(shè)
    發(fā)表于 11-17 09:01 ?5656次閱讀

    高頻數(shù)字抽取濾波器的設(shè)計(jì)

    設(shè)計(jì)了采樣頻率為640 MHz、過采樣率為64的高頻數(shù)字抽取濾波器。該
    發(fā)表于 02-21 12:08 ?1931次閱讀
    高頻<b class='flag-5'>數(shù)字</b><b class='flag-5'>抽取</b><b class='flag-5'>濾波器</b>的設(shè)計(jì)

    FPGA的FIR抽取濾波器設(shè)計(jì)詳細(xì)教程

    文介紹了FIR抽取濾波器的工作原理,重點(diǎn)闡述了用XC2V1000實(shí)現(xiàn)FIR抽取濾波器的方法,并給出了仿真波形和設(shè)計(jì)特點(diǎn)。
    發(fā)表于 04-19 11:34 ?2140次閱讀
    FPGA的FIR<b class='flag-5'>抽取</b><b class='flag-5'>濾波器</b>設(shè)計(jì)詳細(xì)教程

    怎么樣使用FPGA設(shè)計(jì)ADC數(shù)字抽取濾波器

    針對Σ△ADC輸出端存在的高頻噪聲問題,設(shè)計(jì)了一種 Sinc數(shù)字抽取濾波器,實(shí)現(xiàn)了Σ-△調(diào)制輸出信號的高頻濾波。
    發(fā)表于 08-26 17:12 ?16次下載
    怎么樣使用FPGA設(shè)計(jì)ADC<b class='flag-5'>數(shù)字</b><b class='flag-5'>抽取</b><b class='flag-5'>濾波器</b>