0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

電子設(shè)計 ? 作者:電子設(shè)計 ? 2018-11-14 08:02 ? 次閱讀

引言

FPGA 作為可編程的邏輯器件,它具有功耗低、便于修改、調(diào)試等特點,并能在上面實時完成大量的算法,平方根運算作為信號和圖像處理中的常見算法,目前在FPGA上有許多實現(xiàn),但是這些實現(xiàn)方法通常采用目前硬件設(shè)計中普遍采用的Verilog和VHDL語言進行硬件設(shè)計,這種設(shè)計方法存在著仿真和校驗效率低,對于復(fù)雜的算法和軟件設(shè)計者之間的溝通較為困難等問題。

Python是一種簡單易學(xué)并且功能強大的編程語言,并具有強大的軟硬件描述能力,MyHDL采用Python擴展包的形式使其能支持硬件設(shè)計和仿真并在仿真結(jié)果符合要求后可將軟件算法自動轉(zhuǎn)換為相應(yīng)的Verilog 或VHDL硬件描述。

本文試圖采用這種新的基于Python的軟硬件設(shè)計方法在FPGA上實現(xiàn)定點平方根。

1 方法

1.1 定點數(shù)表示

通常在FPGA 上的運算可以采用定點和浮點兩種方式來實現(xiàn),定點運算和浮點運算相比盡管數(shù)表示的范圍較小,設(shè)計較為復(fù)雜,但是速度較快,占用FPGA資源較小,本設(shè)計采用定點來完成。平方根的輸入為非負(fù)數(shù),包括符號位為定點32位輸入,其中高16位為整數(shù)部分,低15位為小數(shù)部分,可以直接計算的平方根范圍為(65 536,0],結(jié)果采用32位輸出,最高位為符號位,接著的高8位為整數(shù)部分,低23位為小數(shù)部分。

1.2 平方根實現(xiàn)

平方根的FPGA實現(xiàn)方法很多,有的算法為了減少片上資源的使用,邏輯實現(xiàn)上盡量避免使用乘法,比如CORDIC,逐位計算,non-restoring 等,現(xiàn)在FPGA上通常都有硬件乘法器,可采用迭代法和泰勒級數(shù)展開,本文采用泰勒級數(shù)展開的方法,級數(shù)采用5級,系數(shù)采用3.15的定點表示形式,小數(shù)部分15位,整數(shù)部分2位為了保證后續(xù)計算結(jié)果不溢出,整個位寬為18位,計算公式如式(1)所示:

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

對于輸入x 位于(65 536,0]之間,由于數(shù)的范圍較大,通常進行歸一化處理,采用的方法通過左移運算去掉二進制定點數(shù)的所有前導(dǎo)零,將輸入的數(shù)轉(zhuǎn)換為定點小數(shù)[0.5,1)之間,在完成平方根運算之后,然后根據(jù)前導(dǎo)零個數(shù)的奇、偶性不同分別進行去歸一化處理,原理如式(2)所示,將輸入數(shù)y 分為sx,s=2n,n 即為y 的二進制前導(dǎo)零的個數(shù)。

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

整個過程的設(shè)計模塊如圖1所示。

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

1.3 Python軟硬件協(xié)同設(shè)計

基于Python 的軟硬件協(xié)同設(shè)計的過程如圖2 所示,由于本設(shè)計最終要在硬件上實現(xiàn),在設(shè)計時Python的硬件設(shè)計部分采用MyHDL 可綜合子集,最后使用MyHDL的toVerilog()函數(shù)將MyHDL設(shè)計自動轉(zhuǎn)換為相應(yīng)的Verilog 代碼,由于MyHDL 支持與Verilog 混合仿真,設(shè)計時的測試平臺可以重用,仿真速度和設(shè)計效率大大提高。在完成基于Python軟硬件設(shè)計并仿真正確之后,就可以回到進行傳統(tǒng)的FPGA 設(shè)計流程,進行后續(xù)的下載,綜合和測試工作。

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

2 結(jié)果

2.1 實驗環(huán)境

采用MyHDL 0.8,采用GTKWAVE 查看仿真波形,F(xiàn)PGA 器件采用Altera公司CycloneⅡ 2C35F672C6,編譯綜合采用Quartus 12.1sp1 webpack.

2.2 仿真波形

在設(shè)計過程中生成的VCD仿真波形可以隨時采用GTKWAVE 查看,可以便于校驗設(shè)計是否正確,最終完成的仿真波形如圖3所示。

2.3 測試數(shù)據(jù),精度及誤差

由于整個過程采用Python設(shè)計,Python存在大量的軟件包可以使用,平方根完成的測試數(shù)據(jù)結(jié)果如表1所示,采用基于Python 的繪圖包matplotlib 繪制的當(dāng)x 在[0.5,1.0]之間時的平方根誤差如圖4所示。

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

采用Python語言在FPGA上實現(xiàn)定點平方根算法的硬件系統(tǒng)協(xié)同設(shè)計

2.4 綜合結(jié)果

在上面仿真校驗符合設(shè)計要求后,將Python自動轉(zhuǎn)換為Verilog描述,采用Quartus編譯綜合,并使用Model-sim仿真的波形如圖5所示,與圖3的Python環(huán)境下仿真波形相似,由此可見采用Python的軟硬件協(xié)同設(shè)計方法能有效地進行FPGA 設(shè)計。綜合后FPGA 資源使用情況:LE共1 506個,寄存器64個,嵌入式9位硬件乘法器10個。

3 結(jié)論

本文采用基于Python的擴展包MyHDL的軟硬件協(xié)同設(shè)計方法,在FPGA 上完成了定點平方根算法,設(shè)計仿真過程僅使用Python語言,所以仿真校驗和傳統(tǒng)的設(shè)計方法相比效率更高,仿真速度也更快,另外此方法還可以方便,有效地將一個軟件算法快速地轉(zhuǎn)換為其相應(yīng)的硬件實現(xiàn),從而完成軟硬件系統(tǒng)協(xié)同設(shè)計。

現(xiàn)代系統(tǒng)的算法越來越復(fù)雜,傳統(tǒng)的軟硬件設(shè)計方法越來越不適應(yīng)市場對設(shè)計的要求,采用Python進行系統(tǒng)設(shè)計,仿真和校驗的速度會大大地提高,也能夠自動將算法轉(zhuǎn)換為對應(yīng)的硬件實現(xiàn),所以采用Python來進行軟硬件協(xié)同設(shè)計的產(chǎn)品能更快地進入市場,并且隨著設(shè)計復(fù)雜性的進一步增強和這種設(shè)計方法本身的發(fā)展和完善,基于Python的軟硬件協(xié)同設(shè)計方法將會有更加廣闊的應(yīng)用前景。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598940
  • 可編程
    +關(guān)注

    關(guān)注

    2

    文章

    810

    瀏覽量

    39596
  • 編程語言
    +關(guān)注

    關(guān)注

    10

    文章

    1916

    瀏覽量

    34379
收藏 人收藏

    評論

    相關(guān)推薦

    如何打印浮動閥以及平方根平方根函數(shù)?

    如何打印浮動閥以及平方根平方根函數(shù)。是否有任何庫來實現(xiàn)這些功能。
    發(fā)表于 09-20 12:45

    MCU裸系統(tǒng)下快速平方根實現(xiàn)相關(guān)資料推薦

    個快速平方根。以下是一個典型的逼近法實現(xiàn)的快速平方根函數(shù),只用了整數(shù)乘法就可以做到32位范圍內(nèi)的整數(shù)平方根計算,并且計算中邊界值始終按照二分法定位可以顯著縮短查找逼近時間,
    發(fā)表于 12-08 08:26

    硬件求解平方根運算的IP核,AHDL語言源代碼

    硬件求解平方根的IP# 進行平方根運算的IP核,由AHDL語言寫成,可在MaxplusII和QuartusII中使用,源代碼加密。# 使用方法# 1.將以下FEATURE行添加到Max
    發(fā)表于 06-14 09:36 ?28次下載

    平方根電路

    平方根電路
    發(fā)表于 02-23 21:56 ?1646次閱讀
    <b class='flag-5'>平方根</b>電路

    寬動態(tài)范圍的平方根電路

    寬動態(tài)范圍的平方根電路
    發(fā)表于 04-09 10:26 ?486次閱讀
    寬動態(tài)范圍的<b class='flag-5'>平方根</b>電路

    頻率平方根運算電路

    頻率平方根運算電路
    發(fā)表于 04-09 10:31 ?602次閱讀
    頻率<b class='flag-5'>平方根</b>運算電路

    平方根運算電路

    平方根運算電路
    發(fā)表于 04-09 10:33 ?1721次閱讀
    <b class='flag-5'>平方根</b>運算電路

    平方根運算電路圖

    平方根運算電路圖
    發(fā)表于 07-17 11:32 ?566次閱讀
    <b class='flag-5'>平方根</b>運算電路圖

    可在各種運算電路中使用的平方根電路

    可在各種運算電路中使用的平方根電路 電路的功能 平方根電路用在
    發(fā)表于 05-08 16:41 ?2890次閱讀
    可在各種運算電路中使用的<b class='flag-5'>平方根</b>電路

    電池SOC的自適應(yīng)平方根無極卡爾曼濾波估計算法

    電池SOC的自適應(yīng)平方根無極卡爾曼濾波估計算法,下來看看
    發(fā)表于 01-13 13:26 ?19次下載

    基于強跟蹤的平方根UKF的衛(wèi)星姿態(tài)確定算法_王松艷

    基于強跟蹤的平方根UKF的衛(wèi)星姿態(tài)確定算法_王松艷
    發(fā)表于 01-07 15:17 ?1次下載

    單片機C語言的求平方根怎么實現(xiàn)

    C語言中要求平方根,可以頭文件中加入#include .然后調(diào)用sqrt(n);函數(shù)即可。但在單片機中調(diào)用此函數(shù)無疑會耗費大量資源和時間,是極不合適的。
    發(fā)表于 07-13 09:36 ?3472次閱讀
    單片機C<b class='flag-5'>語言</b>的求<b class='flag-5'>平方根</b>怎么<b class='flag-5'>實現(xiàn)</b>

    采用MOSFET器件實現(xiàn)模擬平方根計算裝置的設(shè)計

    儀表和測量系統(tǒng)中廣泛使用了平方根計算電路,例如:用于計算任意波形rms (均方根)等任務(wù)。因此,設(shè)計師需要有一種高效的模擬平方根計算裝置。
    發(fā)表于 08-12 14:35 ?1345次閱讀
    <b class='flag-5'>采用</b>MOSFET器件<b class='flag-5'>實現(xiàn)</b>模擬<b class='flag-5'>平方根</b>計算裝置的設(shè)計

    MCU裸系統(tǒng)下快速平方根實現(xiàn)

    個快速平方根。以下是一個典型的逼近法實現(xiàn)的快速平方根函數(shù),只用了整數(shù)乘法就可以做到32位范圍內(nèi)的整數(shù)平方根計算,并且計算中邊界值始終按照二分法定位可以顯著縮短查找逼近時間,
    發(fā)表于 11-25 19:06 ?8次下載
    MCU裸<b class='flag-5'>系統(tǒng)</b>下快速<b class='flag-5'>平方根</b><b class='flag-5'>實現(xiàn)</b>

    如何使用Java來求解平方根

    在編程時,會遇到求平方根的問題,本次問題講到如何使用Java來求解平方根
    的頭像 發(fā)表于 03-03 09:39 ?1051次閱讀
    如何使用Java來求解<b class='flag-5'>平方根</b>