0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于FPGA芯片和ISD2560實現(xiàn)新型電子密碼鎖的設(shè)計

電子設(shè)計 ? 來源:信息化研究 ? 作者:吳海濤,梁迎春 ? 2020-07-15 08:00 ? 次閱讀

引 言

隨著電子技術(shù)的發(fā)展,具有防盜報警、語音提示等功能的電子密碼鎖代替密碼量少、安全性差的機械式密碼鎖已是必然趨勢。目前大部分密碼鎖采用單片機進行設(shè)計,電路較復(fù)雜,性能不夠靈活。本文采用先進的EDA(電子設(shè)計自動化)技術(shù),利用QuartusⅡ工作平臺和VHDL(超高速集成電路硬件描述語言),設(shè)計了一種新型的電子密碼鎖。該密碼鎖具有密碼預(yù)置、修改、語音提示和3次輸入錯誤則系統(tǒng)進入定時鎖定并報警等功能,用FPGA(現(xiàn)場可編程門陣列)芯片和語音芯片ISD2560實現(xiàn)。由于充分利用了FPGA芯片密度大、功耗低、可重復(fù)編程和具有嵌入式陣列塊的結(jié)構(gòu)特點,且ISD2560具有抗斷電、音質(zhì)好、使用方便等優(yōu)點,因而該密碼鎖體積小、功耗低、價格低、安全可靠、具有智能語音提示、維護和升級方便。

1、 軟件設(shè)計

軟件用VHDL來實現(xiàn),VHDL相比較于VerilogHDL,具有更好的語法的嚴(yán)格性,但是其嚴(yán)格的語法一定程度上限制了錯誤的產(chǎn)生,調(diào)試較容易。VHDL的系統(tǒng)抽象能力比Verilog HDL強,在系統(tǒng)描述上占有一定的優(yōu)勢,但在門級描述上稍顯遜色。

基于FPGA芯片和ISD2560實現(xiàn)新型電子密碼鎖的設(shè)計

本設(shè)計軟件模塊框圖如圖1所示,共8個模塊。

在本系統(tǒng)中,密碼由4位十進制數(shù)組成,初始密碼為“0000”,可由用戶任意設(shè)定密碼。密碼輸入正確時,則進入開鎖狀態(tài)。如果密碼連續(xù)輸入3次錯誤時,則系統(tǒng)進入自我定時鎖定,并報警。在輸入過程中,系統(tǒng)可以發(fā)音提示(也可屏蔽掉)用戶輸入的數(shù)字,或者說明當(dāng)前的狀態(tài)。

下面介紹狀態(tài)機和ISD2560控制電路的實現(xiàn)。

本設(shè)計的狀態(tài)圖見圖2。

其中state為狀態(tài)代碼,并通過state_out[3..0]端口傳給其他電路。一開機,系統(tǒng)處在空閑狀態(tài),當(dāng)按鍵處理電路傳來確定使能的信號compare_en時,狀態(tài)機等待effect_out腳的高電平脈沖信號,如果等不到則進入S2狀態(tài),即進入密碼輸入一次錯誤狀態(tài),如果等到則進入pass狀態(tài)即開門狀態(tài),如果3次輸入密碼錯誤則系統(tǒng)進入死鎖倒計時狀態(tài),拉高lock_pin腳,啟動計數(shù)器。

軟件流程圖見圖3。

當(dāng)計數(shù)滿時則計數(shù)電路拉高unlock腳電平,狀態(tài)機檢測到unlock腳下為高電平時,則表示鎖定時間結(jié)束,復(fù)位lock_pin的電平,在下一個時鐘上升沿到來時,進入下一個狀態(tài)即idle狀態(tài)。只有pass狀態(tài)下從按鍵處理電路傳來modify_pin為高電平時,才能進入modify狀態(tài),即密碼修改狀態(tài)。當(dāng)檢測到modify_pin腳電平復(fù)位為低電平時則表明修改密碼完成,狀態(tài)機在下一個時鐘上升沿到來之時,進入下一個狀態(tài)即idle狀態(tài)。如果在pass狀態(tài)時,狀態(tài)機檢測到close_pin腳電平被拉高時,則表明用戶要關(guān)門,此系統(tǒng)在下一個時鐘上升沿到來之時,返同空閑狀態(tài)。

狀態(tài)機還控制紅綠黃3個發(fā)光二極管與buzz-er蜂鳴器,仿真波形如圖4所示。當(dāng)系統(tǒng)處在空閑狀態(tài)、S2狀態(tài)、S3狀態(tài)時黃燈亮(S2、S3為第2次、第3次輸入密碼錯誤的狀態(tài));系統(tǒng)處在修改密碼的狀態(tài)即modify狀態(tài)時,3個燈一起亮;當(dāng)處于pass狀態(tài)時,綠燈亮;當(dāng)系統(tǒng)處于鎖定狀態(tài)即locked狀態(tài)時,紅燈亮,且蜂鳴器發(fā)出報警的聲音,直至跳出該狀態(tài)。

本設(shè)計采用計數(shù)器方法在ce腳上產(chǎn)生一個低電平脈沖以控制ISD2560芯片放錄音。當(dāng)innum收到一個新的數(shù)值時,則開始計數(shù);當(dāng)計數(shù)器計到一半時,使ce腳輸出低電平;當(dāng)計數(shù)大于最高數(shù)的一半時,則復(fù)位ce腳電平,直到下次計數(shù)開始。當(dāng)語音數(shù)據(jù)有多段時,先將要播放的語音段數(shù)存到一個變量中,并將各段地址存到另一個多位的變量中,然后開始放音并檢測eom腳的電平。當(dāng)檢測到eom腳的低電平脈沖時,就表明放音結(jié)束,就讓段數(shù)變量減1,然后給地址線再賦新值,并且使能計數(shù)器,再次使ce腳產(chǎn)生一個低電平脈沖,繼續(xù)放音。這樣直至語音段數(shù)變量為0時,則表明語音播放完畢,系統(tǒng)不再響應(yīng)芯片eom腳的上升沿脈沖。圖5為ISD2560控制電路的仿真波形圖。

本設(shè)計采用模塊化編程,各模塊分工明確,各自實現(xiàn)各自的功能,并通過信號線彼此相連,這樣的好處是調(diào)試、修改、移植程序方便。使用資源和生成總的模塊電路圖分別如圖6和圖7所示。

2 、硬件電路

硬件部分主要包括中央處理器FPGA芯片——EP1C3T144C6、輸入小鍵盤、輸出4位數(shù)碼管、ISD2560語音芯片、LM386音頻放大和揚聲器,如圖8所示。FPGA芯片用米直接控制其他元件的工作,對小鍵盤的輸入,通過一定的算法實現(xiàn)電子密碼鎖的功能。通過控制ISD2560的控制腳的電平狀態(tài),達到控制其發(fā)音從而實現(xiàn)智能語音提示的效果。3個發(fā)光二極管分別用于顯示當(dāng)前的狀態(tài),蜂鳴器用于誤碼報警。

3、 結(jié)束語

本設(shè)計中采用了Altera公司的EP1C3T144C6芯片進行設(shè)計,可以極大地減少其他分立元件或其他芯片的使用,有效地縮小了印制電路板面積,提高了系統(tǒng)的可靠性,大大縮短了系統(tǒng)開發(fā)的周期。由于采用VHDL進行設(shè)計,用軟件實現(xiàn)硬件電路,具有良好的可移植性,可隨時在線更改邏輯設(shè)計及有關(guān)參數(shù),允分體現(xiàn)FPGA的優(yōu)越性,具有一定的實用性。

責(zé)任編輯:gt


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598919
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417160
  • 單片機
    +關(guān)注

    關(guān)注

    6023

    文章

    44376

    瀏覽量

    628383
收藏 人收藏

    評論

    相關(guān)推薦

    采用ISD2560芯片的語言錄放電路

    ISD2560芯片采用多電平直接模擬量存儲專利技術(shù),每個采樣值直接存儲在片內(nèi)單個E2PROM單元中。
    發(fā)表于 05-27 14:40 ?5404次閱讀
    采用<b class='flag-5'>ISD2560</b><b class='flag-5'>芯片</b>的語言錄放電路

    求語音芯片isd2560元件

    誰有語音芯片isd2560元件,急求,發(fā)一下
    發(fā)表于 04-07 21:48

    基于ISD2560的汽車報站器設(shè)計

    介紹采用模擬語音數(shù)據(jù)直接存儲語音芯片ISD2560在汽車報站器上的設(shè)計應(yīng)用,使語音報站器的語音自然、使用方便。
    發(fā)表于 07-10 06:34

    基于ISD2560語音芯片的錄放電路該如何去設(shè)計?

    ISD2560的語音錄放電路是如何構(gòu)成的?基于ISD2560語音芯片的錄放電路該如何去設(shè)計?
    發(fā)表于 06-03 06:09

    基于FPGA芯片和VHDL語言實現(xiàn)語音電子密碼鎖的設(shè)計

    。FPGA芯片用米直接控制其他元件的工作,對小鍵盤的輸入,通過一定的算法實現(xiàn)電子密碼鎖的功能。通過控制I
    發(fā)表于 07-03 08:00

    isd2560應(yīng)用C程序

    isd2560應(yīng)用C程序 /*****************************************//* Copyright (c) 2005, 通信工程學(xué)院  
    發(fā)表于 05-21 09:01 ?91次下載

    ISD2560語音芯片在排隊機系統(tǒng)中的應(yīng)用

    摘要:詳細介紹了Winbond公司生產(chǎn)的ISD2560語音芯片的引腳功能、操作模式以及具體使用方法,給出了用AT89C51與ISD2560構(gòu)成的語音系統(tǒng)的硬件結(jié)構(gòu)和軟件設(shè)計方法。
    發(fā)表于 05-26 21:49 ?1723次閱讀
    <b class='flag-5'>ISD2560</b>語音<b class='flag-5'>芯片</b>在排隊機系統(tǒng)中的應(yīng)用

    電子密碼鎖的設(shè)計

    電子密碼鎖的設(shè)計 設(shè)計一個電子密碼鎖,在開的狀態(tài)下輸入密碼
    發(fā)表于 04-29 16:23 ?5744次閱讀
    <b class='flag-5'>電子</b><b class='flag-5'>密碼鎖</b>的設(shè)計

    基于FPGA和VHDL的數(shù)字密碼鎖的設(shè)計與實現(xiàn)

    本設(shè)計選用FPGA芯片、4×4矩陣鍵盤、七段數(shù)碼管為主要硬件,設(shè)計了一種低功耗、體積小的密碼鎖,并在硬件上驗證了其可靠性。由于FPGA的靈活性,密碼
    發(fā)表于 11-23 10:13 ?1.2w次閱讀

    基于FPGA電子密碼鎖的設(shè)計

    基于FPGA電子密碼鎖的設(shè)計的技術(shù)論 文
    發(fā)表于 10-29 17:15 ?23次下載

    ISD2560語音芯片中文資料

    ISD2560語音芯片中文資料,語音方面的資料,快來下載吧
    發(fā)表于 03-29 11:07 ?0次下載

    簡易電子密碼鎖

    簡易電子密碼鎖 四位電子密碼鎖設(shè)計 思路簡述
    發(fā)表于 06-17 16:00 ?69次下載

    電子密碼鎖的C語言程序

    這個是電子密碼鎖的C語言程序,以及電子密碼鎖的仿真圖。
    發(fā)表于 07-29 17:26 ?128次下載

    基于8086的電子密碼鎖設(shè)計與仿真

    電子密碼鎖是一種通過密碼輸入來控制電路或是芯片工作,從而控制機械開關(guān)的閉合,完成開鎖、閉鎖任務(wù)的電子產(chǎn)品。它的種類很多,有簡易的電路產(chǎn)品,也
    發(fā)表于 01-31 15:06 ?1.1w次閱讀
    基于8086的<b class='flag-5'>電子</b><b class='flag-5'>密碼鎖</b>設(shè)計與仿真

    基于FPGA電子按鍵密碼鎖設(shè)計

    電子密碼鎖與傳統(tǒng)密碼鎖相比,具有安全性高、成本低、易操作等諸多優(yōu)點。正因如此,電子密碼鎖近年來發(fā)展迅速,諸如按鍵式
    的頭像 發(fā)表于 07-12 16:03 ?1464次閱讀