0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于電子電路仿真軟件實(shí)現(xiàn)汽車(chē)尾燈控制系統(tǒng)的設(shè)計(jì)

電子設(shè)計(jì) ? 來(lái)源:電子設(shè)計(jì)工程 ? 作者:劉小群,石虎山, ? 2020-06-16 07:47 ? 次閱讀

引言

隨著社會(huì)的不斷發(fā)展進(jìn)步,汽車(chē)使用越來(lái)越多,然而隨著汽車(chē)數(shù)量的急劇增加,道路安全就愈發(fā)引起人們的關(guān)注。而汽車(chē)尾燈控制系統(tǒng)對(duì)于汽車(chē)的安全行駛起著至關(guān)重要的作用。在夜晚或因天氣原因能見(jiàn)度不高的時(shí)候,人們對(duì)汽車(chē)安全行駛的要求更高,汽車(chē)尾燈控制系統(tǒng)給大家?guī)?lái)了方便。汽車(chē)尾燈控制器是隨著汽車(chē)智能化技術(shù)發(fā)展而迅速發(fā)展起來(lái)的,隨著電子技術(shù)的發(fā)展,對(duì)于汽車(chē)的控制電路,已經(jīng)從過(guò)去的全人工開(kāi)關(guān)發(fā)展到智能控制。探究一套既快又可靠,更加合理的設(shè)計(jì)方法,進(jìn)行高性能汽車(chē)尾燈控制系統(tǒng)的設(shè)計(jì)研究,具有非常深遠(yuǎn)的實(shí)際意義。

Proteus是一種功能強(qiáng)大的電子電路仿真軟件,該軟件提供了龐大的元件數(shù)據(jù)庫(kù)和種類(lèi)齊全的虛擬測(cè)試儀器表,可以方便的進(jìn)行原理電路設(shè)計(jì)和電路功能測(cè)試。本文利用Proteus軟件設(shè)計(jì)了一種汽車(chē)尾燈控制系統(tǒng),完成電路的設(shè)計(jì)、仿真分析、仿真器件測(cè)試等。仿真功能正確后,制作了成品,具有結(jié)構(gòu)簡(jiǎn)單,價(jià)格低廉、使用方便等特點(diǎn)。

1、系統(tǒng)設(shè)計(jì)方案

汽車(chē)尾燈控制系統(tǒng)的結(jié)構(gòu)框圖如圖1所示。整個(gè)電路由振蕩電路、開(kāi)關(guān)控制電路、三進(jìn)制計(jì)數(shù)電路、譯碼電路、顯示驅(qū)動(dòng)電路、尾燈狀態(tài)顯示六部分組成。通過(guò)555定時(shí)器構(gòu)成的多諧振蕩器產(chǎn)生頻率為1 Hz的脈沖信號(hào),該脈沖信號(hào)用于提供給JK觸發(fā)器生成三進(jìn)制計(jì)數(shù)器和尾燈的閃爍。用JK觸發(fā)器進(jìn)行計(jì)數(shù),控制部分采用輕觸開(kāi)關(guān)SW與上拉電阻實(shí)現(xiàn),譯碼和顯示驅(qū)動(dòng)部分由譯碼器74HC138來(lái)實(shí)現(xiàn),尾燈狀態(tài)顯示電路可由6個(gè)發(fā)光二極管和6個(gè)與門(mén)組成。

基于電子電路仿真軟件實(shí)現(xiàn)汽車(chē)尾燈控制系統(tǒng)的設(shè)計(jì)

2、控制系統(tǒng)的設(shè)計(jì)

2.1 時(shí)鐘信號(hào)源電路

由于汽車(chē)尾燈的點(diǎn)亮是給人不同的信息及該車(chē)將要發(fā)生的動(dòng)作,所以汽車(chē)尾燈在閃爍的時(shí)候不能超過(guò)一定的頻率,但是頻率也不能太小,所以我們?cè)谠O(shè)計(jì)的時(shí)候是采用的555定時(shí)器產(chǎn)生的一個(gè)脈沖,占空比約為50%,頻率約為1 Hz.所以尾燈在循環(huán)點(diǎn)亮的時(shí)候時(shí)間間隔約為1 s,這樣就能讓人很清楚的明白該汽車(chē)的動(dòng)作,從而避免交通事故的發(fā)生。

2.2 開(kāi)關(guān)控制電路

此部分電路設(shè)計(jì)采用輕觸開(kāi)關(guān)SW與上拉電阻實(shí)現(xiàn),當(dāng)SW沒(méi)有按下時(shí)輸出高電平。當(dāng)SW按下以后,輸出低電平。抖動(dòng)時(shí)間一般為1 ms,按鍵抖動(dòng)的消除可以通過(guò)積分電路消除,原理主要通過(guò)電解電容的充電放電將74HC14的輸入電平進(jìn)行延時(shí),消除抖動(dòng)。一般選擇電容與電阻時(shí),可以通過(guò)時(shí)間常數(shù)RC為抖動(dòng)時(shí)間的2~3倍進(jìn)行選擇。

2.3 譯碼與顯示驅(qū)動(dòng)電路

譯碼與顯示驅(qū)動(dòng)電路的功能是:在開(kāi)關(guān)控制電路輸出和三進(jìn)制計(jì)數(shù)器狀態(tài)的作用下,通過(guò)3-8譯碼器74HC138控制4種狀態(tài):汽車(chē)正常行駛,汽車(chē)右轉(zhuǎn)彎行駛,汽車(chē)左轉(zhuǎn)彎行駛,汽車(chē)臨時(shí)剎車(chē)。

汽車(chē)正常行駛狀態(tài)狀態(tài)下,SW1、SW2、SW3均沒(méi)有按下,控制狀態(tài)為111.汽車(chē)正常行駛狀態(tài)時(shí)顯示部分左右兩側(cè)的指示燈處于熄滅狀態(tài):主要通過(guò)74HC138的使能端就可以實(shí)現(xiàn)。當(dāng)E1使能端為低電平、E2E3為低電平時(shí)輸出全高,此時(shí)輸出不隨輸入變化而變化。

汽車(chē)左轉(zhuǎn)彎行駛狀態(tài)下,SW1鍵按下、SW2、SW3均沒(méi)有按下??刂茽顟B(tài)為011.汽車(chē)左轉(zhuǎn)彎行駛時(shí)顯示部分左側(cè)的指示燈按左循環(huán)點(diǎn)亮;

汽車(chē)右轉(zhuǎn)彎行駛狀態(tài)下,SW2鍵按下、SW2、SW1均沒(méi)有按下。控制狀態(tài)為101.汽車(chē)右轉(zhuǎn)彎行駛時(shí)顯示部分右側(cè)的指示燈按右循環(huán)點(diǎn)亮。

汽車(chē)臨時(shí)剎車(chē)狀態(tài)下,SW3鍵按下,SW2、SW1均沒(méi)有按下,控制狀態(tài)為110.該狀態(tài)要求顯示部分實(shí)現(xiàn)閃爍顯示,也就是間接的將時(shí)鐘信號(hào)輸入在顯示電路,可以通過(guò)SW3信號(hào)作為一個(gè)開(kāi)關(guān)信號(hào)來(lái)控制顯示部分時(shí)鐘信號(hào)的輸入。當(dāng)SW3按鍵按下以后,SW3為低電平,這里就需要設(shè)計(jì)電路實(shí)現(xiàn)當(dāng)SW3為低時(shí)將時(shí)鐘信號(hào)輸入,當(dāng)SW3為高電平時(shí)時(shí)鐘信號(hào)阻斷。分析可知可以用或門(mén)實(shí)現(xiàn)。

2.4 尾燈狀態(tài)顯示電路

尾燈狀態(tài)顯示電路可由6個(gè)發(fā)光二極管和6個(gè)與門(mén)組成,發(fā)光二極管的VF=2.0 V,額定電流為20 MA,而在本次設(shè)計(jì)的尾燈狀態(tài)顯示電路中,用與門(mén)灌電流點(diǎn)亮發(fā)光二極管。一般CMOS的灌電流為40 MA,比發(fā)光二極管的額定電流高,此時(shí)需要給發(fā)光二極管進(jìn)行限流,以保證發(fā)光二極管不被燒壞,這里限流電阻的選擇可以選用1 K.0.6 W的一般限流電阻。

2.5 總體電路

根據(jù)以上所述的設(shè)計(jì)內(nèi)容及要求的分析,可以得到如圖2所示的汽車(chē)尾燈控制器電路原理圖。

3、實(shí)驗(yàn)結(jié)果及性能分析

為了驗(yàn)證所設(shè)計(jì)的控制器的有效性,在PROTEUS中搭建了該控制電路的模型圖,并對(duì)電路進(jìn)行了仿真,對(duì)汽車(chē)的各種可能狀態(tài)下尾燈的狀態(tài)進(jìn)行了驗(yàn)證[8-9]。圖3為汽車(chē)正常行駛狀態(tài)下尾燈狀態(tài);圖4為汽車(chē)左轉(zhuǎn)彎行駛狀態(tài)下尾燈狀態(tài);圖5為汽車(chē)右轉(zhuǎn)彎行駛狀態(tài)下尾燈狀態(tài);圖6為汽車(chē)剎車(chē)時(shí)尾燈狀態(tài)。汽車(chē)正常行駛狀態(tài)下尾燈的為全滅狀態(tài),汽車(chē)左轉(zhuǎn)彎行駛狀態(tài)下尾燈為左循環(huán)閃爍狀態(tài),汽車(chē)右轉(zhuǎn)彎行駛狀態(tài)下尾燈的閃爍狀態(tài),汽車(chē)剎車(chē)狀態(tài)下尾燈為閃爍狀態(tài),所設(shè)計(jì)的控制器符合要求。將所設(shè)計(jì)的系統(tǒng)加載到EPLD器件EPM7128LC84-15驗(yàn)證功能正確。該系統(tǒng)具有結(jié)構(gòu)簡(jiǎn)單,價(jià)格低廉、使用方便等特點(diǎn)。

4、 結(jié)束語(yǔ)

本文基于Proteus軟件設(shè)計(jì)了汽車(chē)尾燈控制系統(tǒng)??梢詼p少交通事故隱患,提高尾燈電路的使用壽命。通過(guò)Proteus 軟件對(duì)電路進(jìn)行了仿真,汽車(chē)尾燈在正常行駛、左拐彎、右拐彎和臨時(shí)剎車(chē)等狀態(tài)時(shí)能夠按設(shè)計(jì)要求正常顯示。根據(jù)設(shè)計(jì)電路制作硬件電路,其功能正確。具有系統(tǒng)結(jié)構(gòu)簡(jiǎn)單、可靠性高、操作方便、成本低等優(yōu)點(diǎn)。

責(zé)任編輯:gt


聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 控制器
    +關(guān)注

    關(guān)注

    112

    文章

    15879

    瀏覽量

    175344
  • 控制系統(tǒng)
    +關(guān)注

    關(guān)注

    41

    文章

    6417

    瀏覽量

    110092
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3971

    瀏覽量

    132953
  • PCB設(shè)計(jì)
    +關(guān)注

    關(guān)注

    394

    文章

    4648

    瀏覽量

    84534
  • 可制造性設(shè)計(jì)

    關(guān)注

    10

    文章

    2064

    瀏覽量

    15377
  • 華秋DFM
    +關(guān)注

    關(guān)注

    20

    文章

    3492

    瀏覽量

    4187
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于單片機(jī)的汽車(chē)尾燈控制器設(shè)計(jì)

    本文所研究和開(kāi)發(fā)的課題是汽車(chē)尾燈控制器的電路設(shè)計(jì),其基于Intel公司生產(chǎn)的AT89S52芯片設(shè)計(jì)了汽車(chē)尾燈控制系統(tǒng)。在該
    發(fā)表于 01-25 13:52 ?1.1w次閱讀
    基于單片機(jī)的<b class='flag-5'>汽車(chē)尾燈</b><b class='flag-5'>控制</b>器設(shè)計(jì)

    汽車(chē)尾燈控制電路設(shè)計(jì)論文.doc

    汽車(chē)尾燈控制電路設(shè)計(jì).doc  主電路設(shè)計(jì):   ①.設(shè)計(jì)說(shuō)明:    
    發(fā)表于 05-29 07:53

    基于AT89S52單片機(jī)的汽車(chē)尾燈控制器設(shè)計(jì)

    控制控制系統(tǒng)。首先介紹了系統(tǒng)的總體設(shè)計(jì)方案;其次結(jié)合實(shí)際應(yīng)用給出了界面模式與主控芯片單片機(jī)的外圍電路;為了
    發(fā)表于 03-02 16:56

    基于FPGA的汽車(chē)尾燈控制電路設(shè)計(jì)與實(shí)現(xiàn)

    分析汽車(chē)尾燈控制 電路設(shè)計(jì)原理 ,采用 和硬件描述語(yǔ)言 實(shí)現(xiàn)汽車(chē)尾燈控制
    發(fā)表于 11-10 09:14

    如何實(shí)現(xiàn)汽車(chē)尾燈控制設(shè)計(jì)

    用multisim實(shí)現(xiàn)汽車(chē)尾燈控制設(shè)計(jì),要能實(shí)現(xiàn)所有的要求
    發(fā)表于 06-24 15:34

    基于Proteus的汽車(chē)尾燈控制系統(tǒng)該如何去設(shè)計(jì)?

    汽車(chē)尾燈控制系統(tǒng)是由哪些部分組成的?基于Proteus的汽車(chē)尾燈控制系統(tǒng)該如何去設(shè)計(jì)?如何對(duì)汽車(chē)尾燈控制
    發(fā)表于 06-15 06:39

    數(shù)電課設(shè)汽車(chē)尾燈控制電路

    數(shù)電課設(shè)汽車(chē)尾燈控制電路,本來(lái)想一起寫(xiě)汽車(chē)尾燈和交通燈的,但是我的交通燈仿真改了,然后明天要考MATLAB,所以今晚就先不寫(xiě)交通燈了,交通燈等下個(gè)星期寫(xiě),廢話不多說(shuō),開(kāi)寫(xiě)了。我是用Mu
    發(fā)表于 07-22 06:20

    請(qǐng)問(wèn)怎樣去設(shè)計(jì)一種基于74LS138芯片的汽車(chē)尾燈控制

    汽車(chē)尾燈控制器是由哪些部分組成的?怎樣去設(shè)計(jì)一種基于74LS138芯片的汽車(chē)尾燈控制器?如何對(duì)基于74LS138芯片的汽車(chē)尾燈
    發(fā)表于 08-09 07:28

    汽車(chē)尾燈控制設(shè)計(jì)

    汽車(chē)尾燈控制設(shè)計(jì)原理     當(dāng)汽車(chē)左轉(zhuǎn)(按下X2)Y1,Y2,Y3 車(chē)尾燈順序點(diǎn)亮;當(dāng)汽車(chē)右轉(zhuǎn)(按
    發(fā)表于 12-13 14:21 ?77次下載

    汽車(chē)尾燈VHDL設(shè)計(jì)

    汽車(chē)尾燈VHDL設(shè)計(jì) 汽車(chē)尾燈VHDL設(shè)計(jì) 標(biāo)簽/分類(lèi): 1.系統(tǒng)設(shè)計(jì)要求     用6個(gè)發(fā)光管模擬6個(gè)汽車(chē)尾燈(左右各3個(gè))
    發(fā)表于 08-21 15:32 ?4750次閱讀

    汽車(chē)尾燈控制電路

    汽車(chē)尾燈控制電路
    發(fā)表于 05-28 19:24 ?1.6w次閱讀
    <b class='flag-5'>汽車(chē)尾燈</b><b class='flag-5'>控制電路</b>圖

    汽車(chē)尾燈控制電路工作原理及設(shè)計(jì)

    汽車(chē)尾燈控制電路掌握著汽車(chē)尾燈的變化規(guī)律。那么汽車(chē)尾燈控制電路的工作原理及它是怎樣設(shè)計(jì)的呢?作者通過(guò)搜集整理資料,對(duì)于
    發(fā)表于 11-01 17:03 ?6.5w次閱讀
    <b class='flag-5'>汽車(chē)尾燈</b><b class='flag-5'>控制電路</b>工作原理及設(shè)計(jì)

    基于PROTEUS的汽車(chē)尾燈控制系統(tǒng)的設(shè)計(jì)

    利用Proteus軟件設(shè)計(jì)了一種汽車(chē)尾燈控制系統(tǒng)。采用555定時(shí)器產(chǎn)生時(shí)鐘信號(hào),用JK觸發(fā)器進(jìn)行計(jì)數(shù),譯碼器74HC138進(jìn)行譯碼,利用發(fā)光二極管顯示尾燈的狀態(tài)。完成了整體
    發(fā)表于 08-07 16:07 ?189次下載
    基于PROTEUS的<b class='flag-5'>汽車(chē)尾燈</b><b class='flag-5'>控制系統(tǒng)</b>的設(shè)計(jì)

    汽車(chē)尾燈控制電路設(shè)計(jì)資料

    汽車(chē)尾燈控制電路設(shè)計(jì)資料,有興趣的同學(xué)可以下載學(xué)習(xí)
    發(fā)表于 04-28 13:59 ?96次下載

    汽車(chē)尾燈顯示控制電路multisim仿真源文件下載

    數(shù)字電路課程設(shè)計(jì)汽車(chē)尾燈顯示控制電路multisim仿真源文件
    發(fā)表于 02-19 09:45 ?97次下載