0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于CORDIC算法的反正切函數(shù)計(jì)算模塊的設(shè)計(jì)

電子設(shè)計(jì) ? 作者:電子設(shè)計(jì) ? 2018-10-07 11:30 ? 次閱讀

快速精確的反正弦函數(shù)運(yùn)算在現(xiàn)代工程中應(yīng)用廣泛。為了提高反正弦函數(shù)的精度和計(jì)算能力, 研究了基于CORD IC算法的反正弦函數(shù)運(yùn)算器的FPGA 實(shí)現(xiàn), 并通過(guò)改進(jìn)算法減小了誤差, 使誤差精度達(dá)到10--4 數(shù)量級(jí)。并在X ilinx 的XC5VSX50T芯片上驗(yàn)證, 結(jié)果表明該運(yùn)算器的數(shù)據(jù)處理速度快, 精度較高, 適用于高速大數(shù)據(jù)量的數(shù)據(jù)信號(hào)處理領(lǐng)域。

數(shù)字信號(hào)處理領(lǐng)域, 高速高精度的反正弦函數(shù)發(fā)生器有著廣泛的應(yīng)用。目前在FPGA 上實(shí)現(xiàn)反正弦函數(shù)運(yùn)算器采用的主要方法是查找表法和泰勒公式展開(kāi)法。查找表法所需要的存儲(chǔ)單元隨著精度的增加或輸入值范圍的增大而成指數(shù)增加; 泰勒公式展開(kāi)法將函數(shù)簡(jiǎn)化成一系列的乘法和加法運(yùn)算,但是在FPGA 上實(shí)現(xiàn)乘法運(yùn)算既耗時(shí)又占用大量資源。本文設(shè)計(jì)了基于CORDIC算法的反正切函數(shù)計(jì)算模塊,并且根據(jù)IEEE-754單精度浮點(diǎn)數(shù)據(jù)格式對(duì)輸入輸出數(shù)據(jù)進(jìn)行處理,實(shí)現(xiàn)了高精度的浮點(diǎn)反正切函數(shù)的計(jì)算。

1 反正切函數(shù)實(shí)現(xiàn)原理

CORDIC(Coordinate Rotation Digital Computer)算法即坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算方法,是J.D.Volder1于1959年首次提出,主要用于三角函數(shù)、雙曲線、指數(shù)、對(duì)數(shù)的計(jì)算。該算法通過(guò)基本的加和移位運(yùn)算代替乘法運(yùn)算,使得矢量的旋轉(zhuǎn)和定向的計(jì)算不再需要三角函數(shù)、乘法、開(kāi)方、反三角、指數(shù)等函數(shù)。

CORDIC算法有旋轉(zhuǎn)模式和向量模式兩種計(jì)算模式。旋轉(zhuǎn)模式可以用來(lái)計(jì)算一個(gè)輸入角的正弦、余弦,向量模式可以計(jì)算給定向量的角度和長(zhǎng)度。

CORDIC算法的基本迭代公式為:


從上式可以看出,CORDIC算法在向量模式可以計(jì)算出給定向量(X,Y)的長(zhǎng)度和角度,即從平面坐標(biāo)到極坐標(biāo)的變換。

2 數(shù)據(jù)格式轉(zhuǎn)換接口模塊

本文設(shè)計(jì)的反正切函數(shù)硬件模塊輸入為IEEE-754單精度浮點(diǎn)數(shù)據(jù),而模塊內(nèi)部迭代使用的是定點(diǎn)整型數(shù)據(jù),因此需要進(jìn)行轉(zhuǎn)換。

在圖1的輸入數(shù)據(jù)轉(zhuǎn)換接口示意圖中,X、Y為輸入的IEEE-754浮點(diǎn)數(shù)據(jù)格式,輸入范圍是(-∞,+∞),經(jīng)過(guò)接口模塊轉(zhuǎn)換為整型定點(diǎn)數(shù)據(jù)Xn、Yn,其表示范圍是[-1 +1].

矢量(X,Y)在平面坐標(biāo)系中的角度為arctan(Y/X),它只與Y和X的比值有關(guān),與Y和X的實(shí)際長(zhǎng)度無(wú)關(guān)。用這個(gè)性質(zhì)可以以X和Y中絕對(duì)值最大的值作為歸一化數(shù)值,將X和Y的范圍重新映射在[-1 +1]之間,實(shí)現(xiàn)(X,Y)到(Xn,Yn)的轉(zhuǎn)換。

計(jì)算結(jié)束后輸出結(jié)果Z.Z是32位定點(diǎn)整型數(shù)據(jù),且232被定義為2π,將其規(guī)格化為IEEE-754格式的過(guò)程如圖2所示。在對(duì)Z進(jìn)行規(guī)格化之前,需要進(jìn)行前導(dǎo)零檢測(cè),以確定規(guī)格化時(shí)尾數(shù)左移的位數(shù)和指數(shù)位的大小,前導(dǎo)零的檢測(cè)硬件上可以用casex語(yǔ)句實(shí)現(xiàn)。

3 整體設(shè)計(jì)以及仿真綜合

浮點(diǎn)反正切函數(shù)的硬件結(jié)構(gòu)包括了三個(gè)主要部分,即浮點(diǎn)數(shù)據(jù)格式轉(zhuǎn)換接口模塊、CORDIC內(nèi)核計(jì)算模塊和浮點(diǎn)輸出數(shù)據(jù)轉(zhuǎn)換接口模塊,如圖3所示。

采用Quartus II對(duì)設(shè)計(jì)進(jìn)行FPGA綜合,F(xiàn)PGA芯片選擇EP2C70F896C6,硬件環(huán)境為Altera公司的DE2-70平臺(tái),總共需要1 522個(gè)邏輯單元,占用芯片資源的2%,最高工作頻率為100 MHz.

4 Nios II中反正切函數(shù)的自定義指令實(shí)現(xiàn)

反正切函數(shù)與Nios II CPU的接口采用multi-cycle custom instruction,dataa和datab為輸入數(shù)據(jù),result為結(jié)果輸出,要從C語(yǔ)言中直接調(diào)用自定義指令,需要一個(gè)宏定義接口??梢栽趕ystem.h文件中找到自定義指令的宏定義,如:

#define ALT_CI_CORDIC_ATAN2_N 0x00000000

#define ALT_CI_CORDIC_ATAN2(A,B) __builtin_custom_inii

(ALT_CI_CORDIC_ATAN2_N,(A),(B))

為了正確調(diào)用自定義指令,在主程序中重新做以下宏定義:

#define ATAN2(A,B) __builtin_custom_fnff(ALT_CI_CORDIC_ATAN2_N,(A),(B))

與system.h文件中系統(tǒng)自動(dòng)生成的宏定義不同之處在于將宏定義的接口說(shuō)明由"__builtin_custom_inii"改為了"__builtin_custom_fnff".系統(tǒng)自動(dòng)生成的宏定義默認(rèn)輸入輸出皆為整型數(shù)據(jù),改為"__builtin_custom_fnff"就是通知系統(tǒng)這是一條輸入輸出都是單精度浮點(diǎn)數(shù)據(jù)類型的用戶自定義指令。這樣由CPU調(diào)用時(shí)就不會(huì)出現(xiàn)數(shù)據(jù)類型不匹配的錯(cuò)誤。

通過(guò)在Nios II CPU中加入的JTAG_UART模塊,可以從調(diào)試終端窗口中獲得運(yùn)行結(jié)果。硬件IP核平均計(jì)算用時(shí)73個(gè)周期,而軟件計(jì)算平均用時(shí)21 000個(gè)周期,計(jì)算速度提升300倍以上。此時(shí)CPU工作頻率為100 MHz,且配置為最高性能,浮點(diǎn)反正切函數(shù)硬件模塊僅工作在50 MHz.浮點(diǎn)反正切函數(shù)硬件模塊的計(jì)算精度完全可以滿足單精度浮點(diǎn)數(shù)據(jù)的要求,計(jì)算誤差小于10e-6,因此可以用于對(duì)精度和速度都要求很高的各種信號(hào)處理領(lǐng)域。

利用CORD IC算法將反正弦函數(shù)轉(zhuǎn)換為加法和移位運(yùn)算, 降低了復(fù)雜度, 容易在硬件上實(shí)現(xiàn)。本文探討了基于CORDIC 算法的反正弦函數(shù)的硬件實(shí)現(xiàn), 實(shí)現(xiàn)過(guò)程采用流水線結(jié)構(gòu), 具有速度快、實(shí)現(xiàn)簡(jiǎn)單、精度高等優(yōu)點(diǎn)。仿真結(jié)果和實(shí)驗(yàn)結(jié)果表明該運(yùn)算器的輸出誤差為10- 4數(shù)量級(jí), 時(shí)鐘可達(dá)到150MH z, 具有較高的精度和運(yùn)行速度, 因此具有十分重要的工程研究和應(yīng)用意義。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598937
  • 運(yùn)算器
    +關(guān)注

    關(guān)注

    1

    文章

    163

    瀏覽量

    16535
  • 函數(shù)
    +關(guān)注

    關(guān)注

    3

    文章

    4237

    瀏覽量

    61969
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    CORDIC理論分析

      1、CORDIC 理論   1.1、 坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算機(jī)CORDIC   坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算機(jī)CORDIC(COordinate
    發(fā)表于 07-28 17:57 ?1776次閱讀

    基于改進(jìn)的CORDIC算法的FFT復(fù)乘及其FPGA實(shí)現(xiàn)

    的性能。但傳統(tǒng)CORDIC算法中每次CORDIC迭代方向需由剩余角度的計(jì)算來(lái)確定,影響了工作速度。為此,本文根據(jù)定點(diǎn)FFT復(fù)乘中旋轉(zhuǎn)因子的旋轉(zhuǎn)方向可預(yù)先確定的特點(diǎn),對(duì)
    發(fā)表于 07-11 21:32

    關(guān)于在quartus中求反正切函數(shù)

    各位大神,有誰(shuí)知道怎樣在quartus中求反正切函數(shù) 啊,有人說(shuō)用查表法,查表法又怎么做啊,謝謝各位了
    發(fā)表于 10-28 09:03

    FPGA設(shè)計(jì)中必須掌握的Cordic算法

    計(jì)算機(jī)時(shí)發(fā)明的。這是一種設(shè)計(jì)用于計(jì)算數(shù)學(xué)函數(shù)、三角函數(shù)和雙曲函數(shù)的簡(jiǎn)單算法。這種
    發(fā)表于 09-19 09:07

    基于FPGA的反正切函數(shù)的優(yōu)化算法

    主要描述了一種基于FPGA利用Verilog HDL實(shí)現(xiàn)的反正切函數(shù)計(jì)算的優(yōu)化算法反正切函數(shù)
    發(fā)表于 08-06 14:50 ?25次下載

    浮點(diǎn)反正切函數(shù)的FPGA實(shí)現(xiàn)

    如何以合理的硬件代價(jià)來(lái)實(shí)現(xiàn)高精度浮點(diǎn)超越函數(shù)計(jì)算,成為了微處理器設(shè)計(jì)過(guò)程當(dāng)中的一個(gè)非常重要的問(wèn)題。反正切函數(shù)計(jì)算在數(shù)字信號(hào)處理、導(dǎo)航通訊等
    發(fā)表于 11-02 15:31 ?36次下載

    根據(jù)X、Y座標(biāo)求θ角的反正切運(yùn)算電路

    根據(jù)X、Y座標(biāo)求θ角的反正切運(yùn)算電路 電路的功能 反正切運(yùn)算電路
    發(fā)表于 05-10 11:43 ?1717次閱讀
    根據(jù)X、Y座標(biāo)求θ角的<b class='flag-5'>反正切</b>運(yùn)算電路

    利用Cordic算法來(lái)計(jì)算三角函數(shù)的值

    減運(yùn)算,就能計(jì)算常用三角函數(shù)值,如Sin,Cos,Sinh,Cosh等函數(shù)。 J. Walther在1974年在這種算法的基礎(chǔ)上進(jìn)一步改進(jìn),使其可以
    發(fā)表于 11-17 16:37 ?6846次閱讀
    利用<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>來(lái)<b class='flag-5'>計(jì)算</b>三角<b class='flag-5'>函數(shù)</b>的值

    簡(jiǎn)單的數(shù)學(xué)運(yùn)算計(jì)算數(shù)學(xué)函數(shù)的方法CORDIC的詳細(xì)資料概述

    CORDIC是在一個(gè)稱為二進(jìn)制搜索的循環(huán)中使用更簡(jiǎn)單的數(shù)學(xué)運(yùn)算來(lái)計(jì)算數(shù)學(xué)函數(shù)的方法。最常用的CORDIC用于計(jì)算AtAN2(角度)和點(diǎn)的斜邊
    發(fā)表于 05-31 11:18 ?12次下載
    簡(jiǎn)單的數(shù)學(xué)運(yùn)算<b class='flag-5'>計(jì)算</b>數(shù)學(xué)<b class='flag-5'>函數(shù)</b>的方法<b class='flag-5'>CORDIC</b>的詳細(xì)資料概述

    基于FPGA的Cordic算法實(shí)現(xiàn)的設(shè)計(jì)與驗(yàn)證

    本文是基于FPGA實(shí)現(xiàn)Cordic算法的設(shè)計(jì)與驗(yàn)證,使用Verilog HDL設(shè)計(jì),初步可實(shí)現(xiàn)正弦、余弦、反正切函數(shù)的實(shí)現(xiàn)。將復(fù)雜的運(yùn)算轉(zhuǎn)化成FPGA擅長(zhǎng)的加減法和乘法,而乘法運(yùn)算可以
    發(fā)表于 07-03 10:18 ?2575次閱讀
    基于FPGA的<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>實(shí)現(xiàn)的設(shè)計(jì)與驗(yàn)證

    CORDIC算法的原理及具體應(yīng)用

    CORDIC(Coordinate Rotation Digital Computer)算法即坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算方法,是J.D.Volder1于1959年首次提出,主要用于三角函數(shù)、雙曲
    的頭像 發(fā)表于 11-13 07:09 ?6485次閱讀

    一文帶你們了解什么是CORDIC算法

    CORDIC算法簡(jiǎn)介 在信號(hào)處理領(lǐng)域,CORDIC(Coordinate Rotation Digital Computer,坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算機(jī))
    的頭像 發(fā)表于 04-11 11:16 ?1.4w次閱讀
    一文帶你們了解什么是<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>

    使用Verilog HDL設(shè)計(jì)實(shí)現(xiàn)Cordic算法

    任何適合產(chǎn)品實(shí)現(xiàn)的算法,都是將簡(jiǎn)易實(shí)現(xiàn)作為第一目標(biāo)。CORDIC算法是建立在適應(yīng)性濾波器、FFT、解調(diào)器等眾多應(yīng)用基礎(chǔ)上計(jì)算超越函數(shù)的方法。
    的頭像 發(fā)表于 08-16 11:21 ?2171次閱讀
    使用Verilog HDL設(shè)計(jì)實(shí)現(xiàn)<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>

    DSP技巧:頻率解調(diào)算法

    計(jì)算瞬時(shí)相位 θ( n ) 需要反正切運(yùn)算,如果沒(méi)有大量的計(jì)算資源,很難準(zhǔn)確實(shí)現(xiàn)。這是用于計(jì)算等式Δθ( n )的方案。(13–111) 沒(méi)有中間 θ( n ) 相位
    的頭像 發(fā)表于 06-06 10:59 ?1095次閱讀
    DSP技巧:頻率解調(diào)<b class='flag-5'>算法</b>

    怎樣使用CORDIC算法求解角度正余弦呢?

    CORDIC(Coordinate Rotation Digital Computer)算法即坐標(biāo)旋轉(zhuǎn)數(shù)字計(jì)算方法,是J.D.Volder1于1959年首次提出,主要用于三角函數(shù)、雙曲
    的頭像 發(fā)表于 08-31 14:54 ?2126次閱讀
    怎樣使用<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>求解角度正余弦呢?