0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

VHDL語言與DDS技術(shù)結(jié)合產(chǎn)生的的BPSK信號

電子設(shè)計(jì) ? 作者:電子設(shè)計(jì) ? 2018-10-07 11:00 ? 次閱讀

引言

直接數(shù)字式頻率合成器(Direct Digitalfrequency Synthesizer,DDS)是從相位概念出發(fā),直接合成所需波形的頻率合成技術(shù)。VHDL是IEEE的工業(yè)標(biāo)準(zhǔn)硬件描述語言,可描述硬件電路的功能、信號連接關(guān)系及定時(shí)關(guān)系,在電子工程領(lǐng)域用來描述、驗(yàn)證和設(shè)計(jì)電子線路,得到了廣泛應(yīng)用。故將VHDL語言與DDS技術(shù)結(jié)合,設(shè)計(jì)生成BPSK信號。

1 DDS的基本原理

DDS把一系列數(shù)字量形式的信號通過數(shù)模轉(zhuǎn)換器(DAC)轉(zhuǎn)換成模擬量形式的信號,其基本結(jié)構(gòu)如圖1。

VHDL語言與DDS技術(shù)結(jié)合產(chǎn)生的的BPSK信號

DDS由相位累加器、加法器、波形存儲器(ROM)、數(shù)字乘法器、D/A轉(zhuǎn)換器和低通濾波器(LPF)組成。DDS的核心是相位累加器,由一個(gè)加法器和一個(gè)相位寄存器(REG)級聯(lián)構(gòu)成。在參考時(shí)鐘fc的控制下,相位累加器對頻率控制字K進(jìn)行線性累加,輸出的和再與相位控制字P相加后作為地址,對ROM尋址。ROM中存放經(jīng)過采樣、量化處理后的周期性連續(xù)信號一個(gè)周期波形的幅度值,即與一個(gè)周期的相位采樣相對應(yīng)的函數(shù)波形查找表,不同相位地址對應(yīng)周期信號的不同幅度值編碼。ROM輸出的幅度值編碼通過數(shù)字乘法器被幅度控制字A加權(quán),加權(quán)后的幅度值編碼經(jīng)D/A轉(zhuǎn)換器變成階梯波,再經(jīng)低通濾波器平滑后就可得所合成信號的模擬波形。合成的信號波形取決于ROM中存放的幅度值數(shù)據(jù),故用DDS可產(chǎn)生任意波形。

設(shè)相位累加器的字長為N,則DDS的輸出頻率f0和頻率分辨率(即最小輸出頻率)△fmin分別為:

VHDL語言與DDS技術(shù)結(jié)合產(chǎn)生的的BPSK信號

只要N足夠大,DDS可以得到很小的頻率分辨率。要改變DDS的輸出頻率,只要改變頻率控制字K即可。

根據(jù)Nyquist采樣定理,在對連續(xù)信號進(jìn)行采樣的一個(gè)周期內(nèi),采樣頻率不能改變,故利用DDS進(jìn)行信號合成時(shí),在信號合成的一個(gè)周期內(nèi),頻率控制字K不能發(fā)生變化,也就是K在每次改變之前至少應(yīng)該持續(xù)2N/K個(gè)DDS時(shí)鐘周期,即2N/K/fc。

通過改變相位控制字P,可以控制輸出信號的相位參數(shù)。設(shè)相位加法器的字長為M,當(dāng)相位控制字由0變到P(P≠0)時(shí),ROM的輸入為相位累加器的輸出與相位控制字P之和,因此,其輸出的幅度值相位會增加2πP/2M,從而使最后輸出的模擬信號產(chǎn)生相移。

DDS輸出信號的幅度可以通過在ROM之后加入一個(gè)數(shù)字乘法器來實(shí)現(xiàn)。幅度控制字A起到對ROM所輸出的幅度值編碼進(jìn)行加權(quán)的作用。

可見,當(dāng)DDS的相位累加器字長和相位加法器字長確定后,通過改變K、P、A就可以有效地控制DDS輸出的模擬信號的頻率、相位和幅度,這就是DDS技術(shù)的調(diào)制特性。

2 采用VHDL語言實(shí)現(xiàn)DDS

為簡單起見,以下所描述的DDS僅設(shè)置了頻率控制字K,相位控制字P和幅度控制字A都未予以考慮,其處理可類推。DDS的輸出為正弦波信號。

由于正弦波關(guān)于π奇對稱,關(guān)于π/2與3π/2偶對稱,因此波形存儲器(ROM)中只需存儲其1/4個(gè)周期的幅度值編碼。具體地,ROM中存儲正弦波0~π/2相位范圍內(nèi)的256個(gè)采樣點(diǎn)的幅度值,采用8位編碼。而DDS的輸出為9位,最高位作為符號位,用以區(qū)分幅度值的正負(fù),“0”表示正,“1”表示負(fù)。ROM為8位地址尋址,而相位累加器的字長采用10位。最高位用以區(qū)分正弦波的前、后半周期,“0”為前半周期,幅度值為正,“1”為后半周期,幅度值為負(fù)。次高位用以區(qū)分正弦波前、后半周期的前、后1/4周期,“0”為前1/4周期,尋址地址為相位累加器的低8位,“1”為后1/4周期,尋址地址為相位累加器低8位的取反。

用VHDL實(shí)現(xiàn)DDS的源程序的核心部分如下:

VHDL語言與DDS技術(shù)結(jié)合產(chǎn)生的的BPSK信號

在Xilinx ISE 8.2i開發(fā)環(huán)境中對其進(jìn)行仿真的結(jié)果如圖2。

3 BPSK信號的產(chǎn)生

BPSK信號中,載波的相位隨調(diào)制信號“1”或“0”而改變,通常用相位0和π來表示“1”或“0”。

結(jié)合BPSK和DDS的基本原理分析可得:利用DDS技術(shù)的調(diào)制特性生成BPSK信號,只需控制DDS的相位控制字P,讓其隨調(diào)制信號進(jìn)行變化即可。當(dāng)調(diào)制信號為“0”時(shí),載波相位為0,即相位控制字P為0;當(dāng)調(diào)制信號為“1”時(shí),載波相位為π,即相位控制字P為512。這樣,通過相位累加器的輸出與受控相位控制字P相加,就可得到DDS中ROM的尋址地址,從而進(jìn)一步生成BPSK信號。

利用一組隨機(jī)數(shù)的二進(jìn)制編碼的最高位作為控制,生成BPSK信號的二進(jìn)制碼元。其中,采用的產(chǎn)生隨機(jī)數(shù)算法為:定義a、b、s三個(gè)變量,將任意2個(gè)不等于0的數(shù)賦給a和b,a、b進(jìn)行模2加后的結(jié)果賦給s,然后再將a的值賦給b,s的值賦給a,a和b再進(jìn)行模2加,結(jié)果再賦給s,如此循環(huán)……變量s中所存儲的一系列數(shù)值即可看作一組隨機(jī)數(shù),如圖3。

VHDL語言與DDS技術(shù)結(jié)合產(chǎn)生的的BPSK信號

VHDL源程序的主要部分如下:

在Xilinx ISE 8.2i開發(fā)環(huán)境中對其進(jìn)行仿真的結(jié)果如圖4。

VHDL語言與DDS技術(shù)結(jié)合產(chǎn)生的的BPSK信號

4 結(jié)束語

該方法可操作性很強(qiáng),使用簡單方便,具有直觀快捷、容易實(shí)現(xiàn)的特點(diǎn),將得到廣泛的應(yīng)用。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • DDS
    DDS
    +關(guān)注

    關(guān)注

    21

    文章

    625

    瀏覽量

    152292
  • vhdl
    +關(guān)注

    關(guān)注

    30

    文章

    816

    瀏覽量

    127954
  • BPSK
    +關(guān)注

    關(guān)注

    0

    文章

    34

    瀏覽量

    22887
收藏 人收藏

    評論

    相關(guān)推薦

    想要產(chǎn)生一個(gè)BPSK的調(diào)制信號,載波900MHz,基帶用FPGA產(chǎn)生,建議用什么DDS芯片呢?

    想要產(chǎn)生一個(gè)BPSK的調(diào)制信號,載波900MHz,基帶用FPGA產(chǎn)生,建議用什么DDS芯片呢?有沒有好的推薦電路呢?
    發(fā)表于 11-09 09:15

    請問想要產(chǎn)生一個(gè)BPSK的調(diào)制信號,載波900MHz,建議用什么DDS芯片呢?

    想要產(chǎn)生一個(gè)BPSK的調(diào)制信號,載波900MHz,基帶用FPGA產(chǎn)生,建議用什么DDS芯片呢?
    發(fā)表于 11-13 09:19

    請問通過DDS可以實(shí)現(xiàn)的最高頻率的BPSK是多少M(fèi)Hz?

    通過DDS可以實(shí)現(xiàn)的最高頻率的BPSK是多少M(fèi)Hz?用AD9910的RAM模式,10MHz的BPSK 波形還正常,上了10MHz波形就亂了。有沒有高速BPSK的解決方案?拜托各位高手幫
    發(fā)表于 03-11 14:49

    DDS工作原理是?用DDS芯片AD9858寬帶雷達(dá)信號源要設(shè)計(jì)最佳?

    高性能直接數(shù)字合成DDS(Direct DigitalSynthesis)技術(shù)、數(shù)字信號處理DSP(Digital Signal Processing)技術(shù)及大規(guī)模可編程邏輯器件
    發(fā)表于 04-06 08:57

    DDS產(chǎn)生調(diào)幅信號的分析與解釋

    文章目錄前言一、DDS簡介二、DDS產(chǎn)生調(diào)幅信號的分析與解釋1.引入庫2.讀入數(shù)據(jù)總結(jié)前言?DDS(直接數(shù)字頻率合成)
    發(fā)表于 08-18 06:46

    VHDL語言及其應(yīng)用

    VHDL語言及其應(yīng)用是在作者歷時(shí)七年為通信與信息系統(tǒng)、信號與信息處理專業(yè)研究生講授VHDL語言及其應(yīng)用課程的教學(xué)實(shí)踐基礎(chǔ)上編寫而成的。全書共
    發(fā)表于 07-10 17:21 ?18次下載

    使用VHDL語言中幾個(gè)常見問題的探討

    結(jié)合應(yīng)用MaxplusⅡ軟件進(jìn)行VHDL 語言代碼編寫的經(jīng)驗(yàn),闡述使用VHDL 語言的過程中比較常見的幾個(gè)問題。
    發(fā)表于 09-10 16:19 ?25次下載

    VHDL 語言程序的元素

    VHDL 語言程序的元素:本章主要內(nèi)容:􀁺VHDL語言的對象􀁺VHDL
    發(fā)表于 09-28 14:32 ?41次下載

    DDS在羅盤測試信號產(chǎn)生模塊中的應(yīng)用

    本文在對DDS原理和AD9854芯片研究的基礎(chǔ)上,介紹了一種羅盤測試信號產(chǎn)生模塊的設(shè)計(jì)方案。通過單片機(jī)對DDS芯片的控制,可以產(chǎn)生正弦波
    發(fā)表于 07-31 10:45 ?12次下載

    VHDL語言中信號的不同形式設(shè)置

    摘要: 通過一個(gè)偶同位產(chǎn)生器邏輯功能的實(shí)現(xiàn)過程,介紹了VHDL語言中信號設(shè)置的不同方 式及注意事項(xiàng),并給出了完整的程序代碼。 關(guān)鍵詞: VHDL;程序 1 概述
    發(fā)表于 10-06 08:48 ?1228次閱讀
    <b class='flag-5'>VHDL</b><b class='flag-5'>語言中信號</b>的不同形式設(shè)置

    基于FPGA的BPSK調(diào)制與解調(diào)器設(shè)計(jì)

    本文設(shè)計(jì)實(shí)現(xiàn)了一種新型的BPSK信號調(diào)制解調(diào)器,利用m序列的隨機(jī)性來產(chǎn)生輸入基帶信號,詳細(xì)介紹了基于FPGA的BPSK
    發(fā)表于 03-31 15:06 ?71次下載
    基于FPGA的<b class='flag-5'>BPSK</b>調(diào)制與解調(diào)器設(shè)計(jì)

    基于vhdlDDS設(shè)計(jì)簡單的波形發(fā)生器

    基于vhdlDDS設(shè)計(jì) 簡單的波形發(fā)生器 可以產(chǎn)生正弦波,方波,三角波,鋸齒波
    發(fā)表于 01-12 17:55 ?31次下載

    基于DDS技術(shù)的多路同步信號源的設(shè)計(jì)

      多路同步數(shù)字調(diào)相信號源一般采用單片機(jī)和多片專用DDS芯片配合實(shí)現(xiàn)。該技術(shù)同步實(shí)現(xiàn)復(fù)雜,成本高。給出了一種基于FPGA的多路同步信號源的設(shè)計(jì)方法,通過
    發(fā)表于 05-27 13:47 ?7986次閱讀
    基于<b class='flag-5'>DDS</b><b class='flag-5'>技術(shù)</b>的多路同步<b class='flag-5'>信號</b>源的設(shè)計(jì)

    基于DDS芯片AD9854的信號產(chǎn)生器設(shè)計(jì)

    基于DDS芯片AD9854的信號產(chǎn)生器設(shè)計(jì)
    發(fā)表于 08-13 09:43 ?10次下載

    模型機(jī)控制信號產(chǎn)生邏輯VHDL

    模型機(jī)控制信號產(chǎn)生邏輯VHDL 引言: 隨著科技的發(fā)展,數(shù)字系統(tǒng)的設(shè)計(jì)越來越重要。在數(shù)字系統(tǒng)設(shè)計(jì)的過程中,模型機(jī)控制信號產(chǎn)生邏輯是一個(gè)非常
    的頭像 發(fā)表于 09-19 17:16 ?523次閱讀