0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

時序分析概念之spice deck介紹

數(shù)字后端IC芯片設計 ? 來源:未知 ? 作者:工程師李察 ? 2018-09-23 16:52 ? 次閱讀

今天我們要介紹的時序分析概念是spice deck。平時用得可能比較少,是PT產(chǎn)生的一個spice信息文件,可以用來和HSPICE做correlation。我們平時使用PT做得是gate level的時序分析,如果想做transistor level的時序分析,那可以采用HSPICE做電路仿真。

但是,如果要完全仿真整個網(wǎng)表是不大現(xiàn)實的,因為規(guī)模太大,速度難以接受。在PT里面,提供了一種方法,可以采用write_spice_deck命令來產(chǎn)生某一條timing path的spice網(wǎng)表文件,里面包含該條timing path上的resistors, capacitors信息。這對表征新工藝庫的準確性是很有幫助的。如下圖所示:

使用方法

write_spice_deck

pt_shell> write_spice_deck

-header header.spi spicemodel信息文件

-output testcase.spi

-sub_circuit_file ./subckt.spi spicesubcircuit信息文件

[get_timing_paths -from A2 -to buf5/A] timing path


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 電路仿真
    +關(guān)注

    關(guān)注

    36

    文章

    207

    瀏覽量

    95561
  • 時序分析
    +關(guān)注

    關(guān)注

    2

    文章

    127

    瀏覽量

    22527

原文標題:時序分析基本概念介紹

文章出處:【微信號:IC_Physical_Design,微信公眾號:數(shù)字后端IC芯片設計】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    時序分析基本概念介紹——時序庫Lib,除了這些你還想知道什么?

    時序分析基本概念介紹——時序庫Lib。用于描述物理單元的時序和功耗信息的重要庫文件。lib庫是最
    的頭像 發(fā)表于 12-15 17:11 ?1.2w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>——<b class='flag-5'>時序</b>庫Lib,除了這些你還想知道什么?

    詳細介紹時序基本概念Timing arc

    時序分析基本概念介紹——Timing Arc
    的頭像 發(fā)表于 01-02 09:29 ?2.4w次閱讀
    詳細<b class='flag-5'>介紹</b><b class='flag-5'>時序</b>基本<b class='flag-5'>概念</b>Timing arc

    時序分析基本概念生成時鐘詳細資料介紹描述

    今天我們要介紹時序分析概念是generate clock。中文名為生成時鐘。generate clock定義在sdc中,是一個重要的時鐘概念
    的頭像 發(fā)表于 09-24 08:12 ?8753次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>之</b>生成時鐘詳細資料<b class='flag-5'>介紹</b>描述

    時序分析的基本概念ETM的詳細介紹及如何應用的資料概述

    今天我們要介紹時序分析概念是ETM。全稱extracted timing model。這是在層次化設計中必須要使用的一個時序模型文件。由b
    的頭像 發(fā)表于 09-24 19:30 ?1.7w次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>的基本<b class='flag-5'>概念</b>ETM的詳細<b class='flag-5'>介紹</b>及如何應用的資料概述

    時序分析基本概念介紹

    今天我們要介紹時序分析概念是Combinational logic. 中文名組合邏輯單元。這是邏輯單元的基本組成器件。比如我們常見的and, or, not, nand,nor等門電
    的頭像 發(fā)表于 05-14 17:27 ?5633次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    時序分析時序約束的基本概念詳細說明

    時序分析時FPGA設計中永恒的話題,也是FPGA開發(fā)人員設計進階的必由之路。慢慢來,先介紹時序分析中的一些基本
    發(fā)表于 01-08 16:57 ?28次下載
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>和<b class='flag-5'>時序</b>約束的基本<b class='flag-5'>概念</b>詳細說明

    介紹時序分析的基本概念lookup table

    今天要介紹時序分析基本概念是lookup table。中文全稱時序查找表。
    的頭像 發(fā)表于 07-03 14:30 ?1231次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>的基本<b class='flag-5'>概念</b>lookup table

    時序分析概念min pulse width介紹

    今天我們要介紹時序分析概念是 **min pulse width** ,全稱為最小脈沖寬度檢查。這也是一種非常重要的timing arc check,經(jīng)常用在
    的頭像 發(fā)表于 07-03 14:54 ?2251次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b>min pulse width<b class='flag-5'>介紹</b>

    clock gate時序分析概念介紹

    今天我們要介紹時序分析概念是clock gate。 clock gate cell是用data signal控制clock信號的cell,它被頻繁地用在多周期的時鐘path,可以節(jié)省
    的頭像 發(fā)表于 07-03 15:06 ?2601次閱讀
    clock gate<b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    AOCV時序分析概念介紹

    今天我們要介紹時序分析概念是 **AOCV** 。全稱Stage Based Advanced OCV。我們知道,在OCV分析過程中,我們
    的頭像 發(fā)表于 07-03 16:29 ?1726次閱讀
    AOCV<b class='flag-5'>時序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    介紹時序分析基本概念MMMC

    今天我們要介紹時序分析基本概念是MMMC分析(MCMM)。全稱是multi-mode, multi-corner, 多模式多端角
    的頭像 發(fā)表于 07-04 15:40 ?2230次閱讀
    <b class='flag-5'>介紹</b><b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b>MMMC

    時序分析Slew/Transition基本概念介紹

    今天要介紹時序分析基本概念是Slew,信號轉(zhuǎn)換時間,也被稱為transition time。
    的頭像 發(fā)表于 07-05 14:50 ?2531次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>Slew/Transition基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>

    時序分析基本概念介紹&lt;spice deck&gt;

    今天我們要介紹時序分析概念spice deck。平時用得可能比較少,是PT產(chǎn)生的一個
    的頭像 發(fā)表于 07-05 15:45 ?971次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>&lt;<b class='flag-5'>spice</b> <b class='flag-5'>deck</b>&gt;

    時序分析基本概念介紹—Timing Arc

    今天我們要介紹時序基本概念是Timing arc,中文名時序弧。這是timing計算最基本的組成元素,在昨天的lib庫介紹中,大部分
    的頭像 發(fā)表于 07-06 15:00 ?2618次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>—Timing Arc

    時序分析基本概念介紹時序庫Lib

    今天主要介紹時序概念時序庫lib,全稱liberty library format(以? lib結(jié)尾),
    的頭像 發(fā)表于 07-07 17:15 ?2543次閱讀
    <b class='flag-5'>時序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介紹</b>—<b class='flag-5'>時序</b>庫Lib